AN 987: स्थिर अपडेट आंशिक
पुन: कन्फिगरेसन ट्यूटोरियल
Intel® ™ Agilex F-Series FPGA विकास बोर्डको लागि स्थिर अद्यावधिक आंशिक पुन: कन्फिगरेसन ट्यूटोरियल
यो एप्लिकेसन नोटले Intel ® F-Series FPGA विकास बोर्डमा स्थैतिक अपडेट आंशिक पुन: कन्फिगरेसन (SUPR) देखाउँछ। आंशिक पुन: कन्फिगरेसन (PR) ले तपाईंलाई Intel FPGA को एक भाग गतिशील रूपमा पुन: कन्फिगर गर्न अनुमति दिन्छ, जबकि बाँकी FPGA सञ्चालन जारी छ। PR ले यस क्षेत्र बाहिरका क्षेत्रहरूमा सञ्चालनलाई असर नगरी तपाईंको डिजाइनमा एक विशेष क्षेत्रमा धेरै व्यक्तिहरू लागू गर्दछ। यो विधिले निम्न सल्लाह दिन्छtagप्रणालीहरूमा es जसमा धेरै प्रकार्यहरू समय-साझेदारी समान FPGA स्रोतहरू:
- रन-टाइम पुन: कन्फिगरेसन अनुमति दिन्छ
- डिजाइन स्केलेबिलिटी बढाउँछ
- प्रणाली डाउन-टाइम घटाउँछ
- डिजाइनमा गतिशील समय-मल्टीप्लेक्सिङ प्रकार्यहरूलाई समर्थन गर्दछ
- बोर्ड स्पेसको कुशल प्रयोग गरेर लागत र पावर खपत कम गर्दछ
स्टेटिक अपडेट आंशिक पुन: कन्फिगरेसन के हो?
परम्परागत PR मा, स्थिर क्षेत्रमा कुनै पनि परिवर्तन प्रत्येक व्यक्तित्व को पुन: संकलन आवश्यक छ। जे होस्, SUPR को साथ तपाईले एक विशेष क्षेत्र परिभाषित गर्न सक्नुहुन्छ जसले परिवर्तनलाई अनुमति दिन्छ, व्यक्तित्वहरूको पुन: संकलनको आवश्यकता बिना। यो प्रविधि डिजाइनको एक भागको लागि उपयोगी छ जुन तपाइँ सम्भवतः जोखिम न्यूनीकरणको लागि परिवर्तन गर्न चाहानुहुन्छ, तर यसलाई रनटाइम पुन: कन्फिगरेसनको आवश्यकता पर्दैन।
१.१। ट्यूटोरियल आवश्यकताहरू
यो ट्यूटोरियल निम्न आवश्यक छ:
- Intel Quartus® Prime Pro Edition FPGA कार्यान्वयन प्रवाह र परियोजनासँग आधारभूत परिचितता files.
- Intel Agilex उपकरण समर्थनको साथ, Intel Quartus प्राइम प्रो संस्करण 22.3 को स्थापना।
- FPGA कार्यान्वयनको लागि, एक जेTAG बेन्चमा Intel Agilex F-Series FPGA विकास बोर्डसँग जडान।
- सन्दर्भ डिजाइन डाउनलोड गर्नुहोस् Files सम्बन्धित जानकारी
- आंशिक पुन: कन्फिगरेसन प्रयोगकर्ता गाइड
- आंशिक पुन: कन्फिगरेसन ट्यूटोरियल
- आंशिक पुन: कन्फिगरेसन अनलाइन प्रशिक्षण
इंटेल कर्पोरेशन। सबै अधिकार सुरक्षित। Intel, Intel लोगो, र अन्य Intel मार्कहरू Intel Corporation वा यसको सहायक कम्पनीहरूको ट्रेडमार्क हुन्। Intel ले आफ्नो FPGA र अर्धचालक उत्पादनहरूको प्रदर्शनलाई Intel को मानक वारेन्टी अनुसार हालको विशिष्टताहरूमा वारेन्टी दिन्छ, तर सूचना बिना कुनै पनि समयमा कुनै पनि उत्पादन र सेवाहरूमा परिवर्तन गर्ने अधिकार सुरक्षित गर्दछ। Intel ले यहाँ वर्णन गरिएको कुनै पनि जानकारी, उत्पादन, वा सेवाको आवेदन वा प्रयोगबाट उत्पन्न हुने कुनै जिम्मेवारी वा दायित्व ग्रहण गर्दैन बाहेक Intel द्वारा लिखित रूपमा स्पष्ट रूपमा सहमत भए। Intel ग्राहकहरूलाई कुनै पनि प्रकाशित जानकारीमा भर पर्नु अघि र उत्पादन वा सेवाहरूको लागि अर्डर राख्नु अघि उपकरण विशिष्टताहरूको नवीनतम संस्करण प्राप्त गर्न सल्लाह दिइन्छ। *अन्य नाम र ब्रान्डहरू अरूको सम्पत्तिको रूपमा दाबी गर्न सकिन्छ।
ISO 9001:2015 दर्ता गरिएको
१.२। सन्दर्भ डिजाइन ओभरview
यो सन्दर्भ डिजाइन एक, 32-बिट काउन्टर समावेश गर्दछ। बोर्ड स्तरमा, डिजाइनले घडीलाई 50MHz स्रोतमा जडान गर्दछ, र त्यसपछि बोर्डमा चार LEDs मा आउटपुट जडान गर्दछ। काउन्टर बिट्सबाट आउटपुट चयन गर्दा, एक विशिष्ट अनुक्रममा, LEDs लाई एक विशेष फ्रिक्वेन्सीमा झिम्काउनको कारण बनाउँछ। top_counter मोड्युल SUPR क्षेत्र हो।
चित्र १. समतल सन्दर्भ डिजाइन
१.३। स्थिर अद्यावधिक क्षेत्र ओभरview
निम्न चित्रले PR डिजाइनको लागि ब्लक रेखाचित्र देखाउँछ जसमा SUPR क्षेत्र समावेश छ। ब्लक A शीर्ष स्थिर क्षेत्र हो। ब्लक B SUPR क्षेत्र हो। ब्लक C PR विभाजन हो।
चित्र २. SUPR क्षेत्रको साथ PR डिजाइन
- शीर्ष स्थिर क्षेत्र - डिजाइन तर्क समावेश गर्दछ जुन परिवर्तन हुँदैन। यस क्षेत्रलाई परिवर्तन गर्न सबै सम्बन्धित व्यक्तिहरूको पुन: संकलन आवश्यक छ। स्थिर क्षेत्रले डिजाइनको अंश समावेश गर्दछ जुन कुनै पनि व्यक्तित्वको लागि परिवर्तन हुँदैन। यस क्षेत्रले परिधि र मूल उपकरण स्रोतहरू समावेश गर्न सक्छ। तपाईंले स्थिर क्षेत्रमा SUPR र PR विभाजनहरू बीचको सबै सञ्चारहरू दर्ता गर्नुपर्छ। यो आवश्यकताले स्थिर क्षेत्रको सन्दर्भमा कुनै पनि व्यक्तिहरूको लागि समय बन्द हुने सुनिश्चित गर्न मद्दत गर्दछ।
- B SUPR क्षेत्र - कोर-मात्र तर्क समावेश गर्दछ जुन सम्भवतः जोखिम न्यूनीकरणको लागि परिवर्तन हुन सक्छ, तर रनटाइम पुन: कन्फिगरेसनको आवश्यकता पर्दैन। SUPR क्षेत्रसँग PR विभाजनको रूपमा समान आवश्यकताहरू र प्रतिबन्धहरू छन्। SUPR विभाजनले मूल स्रोतहरू मात्र समावेश गर्न सक्छ। त्यसैले, SUPR विभाजन शीर्ष-स्तरको मूल विभाजनको चाइल्ड विभाजन हुनुपर्छ जसमा डिजाइन परिधि र घडीहरू छन्। SUPR क्षेत्र परिवर्तन गर्दा SRAM वस्तु उत्पादन हुन्छ File (.sof) जुन सबै अवस्थित कम्पाइल गरिएको कच्चा बाइनरीसँग उपयुक्त छ File (.rbf) filePR विभाजनको लागि सी।
- C PR विभाजन-मा स्वेच्छाचारी तर्क समावेश छ जुन तपाईंले रनटाइममा कुनै पनि डिजाइन तर्कसँग पुन: प्रोग्राम गर्न सक्नुहुन्छ जुन कम्पाइलेशनको समयमा मिल्ने र टाइमिङ क्लोजर प्राप्त गर्दछ।
१.४। सन्दर्भ डिजाइन डाउनलोड गर्नुहोस् Files
आंशिक पुन: कन्फिगरेसन ट्यूटोरियल निम्न स्थानमा उपलब्ध छ: https://github.com/intel/fpga-partial-reconfig
ट्यूटोरियल डाउनलोड गर्न:
- क्लोन क्लिक गर्नुहोस् वा डाउनलोड गर्नुहोस्।
- जिप डाउनलोड गर्नुहोस् क्लिक गर्नुहोस्। fpga-partial-reconfig-master.zip अनजिप गर्नुहोस् file.
- सन्दर्भ डिजाइन पहुँच गर्न tutorials/agilex_pcie_devkit_blinking_led_sup सबफोल्डरमा नेभिगेट गर्नुहोस्।
फ्ल्याट फोल्डर निम्न समावेश गर्दछ files:
तालिका १. सन्दर्भ डिजाइन Files
File नाम | विवरण |
शीर्ष। sv | शीर्ष-स्तर file डिजाइन को समतल कार्यान्वयन समावेश। यो मोड्युलले blinking_led sub-partition र top_counter मोड्युललाई इन्स्ट्यान्टियट गर्छ। |
t op_counter। sv | शीर्ष-स्तर 32-बिट काउन्टर जसले LED [1] लाई सीधा नियन्त्रण गर्दछ। काउन्टरको दर्ता गरिएको आउटपुटले LED [0] लाई नियन्त्रण गर्छ, र Blinking_led मोड्युल मार्फत LED [2] र LED [3] लाई पनि शक्ति दिन्छ। |
blinking_led। sdc | परियोजनाको लागि समय बाधाहरू परिभाषित गर्दछ। |
blinking_led। sv | यस ट्यूटोरियलमा, तपाईंले यो मोड्युललाई अभिभावक PR विभाजनमा रूपान्तरण गर्नुहुन्छ। मोड्युलले top_counter मोड्युलको दर्ता आउटपुट प्राप्त गर्दछ, जसले LED [2] र LED [3] लाई नियन्त्रण गर्दछ। |
blinking_led.qpf | इंटेल क्वार्टस प्राइम परियोजना file परियोजना मा सबै संशोधन को सूची समावेश। |
blinking_led। qs f | इंटेल क्वार्टस प्राइम सेटिंग्स file परियोजनाको लागि असाइनमेन्ट र सेटिङहरू समावेश। |
नोट: supr फोल्डरले पूर्ण सेट समावेश गर्दछ fileतपाईंले यो अनुप्रयोग प्रयोग गरेर सिर्जना गर्नुभयो नोट। यी सन्दर्भ गर्नुहोस् fileवाकथ्रुको समयमा कुनै पनि बिन्दुमा।
१.५ सन्दर्भ डिजाइन वाकथ्रु
निम्न चरणहरूले फ्ल्याट डिजाइनको साथ SUPR को कार्यान्वयनको वर्णन गर्दछ:
- चरण 1: सुरु गर्दै
- चरण 2: डिजाइन विभाजनहरू सिर्जना गर्नुहोस्
- चरण 3: स्थान र रूटिङ क्षेत्रहरू आवंटित गर्नुहोस्
- चरण 4: व्यक्तित्वहरू परिभाषित गर्नुहोस्
- चरण 5: संशोधनहरू सिर्जना गर्नुहोस्
- चरण 6: आधार संशोधन कम्पाइल गर्नुहोस्
- चरण 7: PR कार्यान्वयन संशोधनहरू सेटअप गर्नुहोस्
- चरण 8: SUPR तर्क परिवर्तन गर्नुहोस्
- चरण 9: बोर्डलाई कार्यक्रम गर्नुहोस्
चित्र 3. SUPR संकलन प्रवाह
१.५.१। चरण 1.5.1: सुरु गर्दै
सन्दर्भ डिजाइन प्रतिलिपि गर्न fileतपाईंको काम गर्ने वातावरणमा s र blinking_led फ्ल्याट डिजाइन कम्पाइल गर्नुहोस्:
- तपाईंले सुरु गर्नु अघि, सन्दर्भ डिजाइन डाउनलोड गर्नुहोस् Files पृष्ठ १5३ मा।
- तपाईंको कार्य वातावरणमा agilex_pcie_devkit_blinking_led_sup डाइरेक्टरी सिर्जना गर्नुहोस्।
- डाउनलोड गरिएका ट्यूटोरियलहरू/agilex_pcie_devkit_blinking_led/flat sub-folder लाई agilex_pcie_devkit_blinking_led_sup डाइरेक्टरीमा प्रतिलिपि गर्नुहोस्।
- Intel Quartus Prime Pro Edition सफ्टवेयरमा क्लिक गर्नुहोस् File ➤ परियोजना खोल्नुहोस् र /flat/blinking_led.qpf खोल्नुहोस्।
- आधार डिजाइन कम्पाइल गर्न, क्लिक गर्नुहोस् प्रक्रिया ➤ संकलन सुरु गर्नुहोस्। संकलन पूरा भएपछि समय विश्लेषक रिपोर्टहरू स्वचालित रूपमा खुल्छन्। तपाईं अहिलेको लागि समय विश्लेषक बन्द गर्न सक्नुहुन्छ।
1.5.2। चरण 2: डिजाइन विभाजनहरू सिर्जना गर्नुहोस्
तपाईंले आंशिक रूपमा पुन: कन्फिगर गर्न चाहनुभएको प्रत्येक क्षेत्रको लागि डिजाइन विभाजनहरू सिर्जना गर्नुहोस्। तपाईंले आफ्नो परियोजनामा कुनै पनि स्वतन्त्र विभाजन वा PR क्षेत्रहरू सिर्जना गर्न सक्नुहुन्छ। PR विभाजनको रूपमा u_blinking_led उदाहरणका लागि डिजाइन विभाजनहरू, र SUPR क्षेत्रको रूपमा u_top_counter उदाहरणका लागि डिजाइन विभाजनहरू सिर्जना गर्न यी चरणहरू पालना गर्नुहोस्:
- प्रोजेक्ट नेभिगेटरमा u_blinking_led उदाहरणमा दायाँ क्लिक गर्नुहोस् र डिजाइन विभाजन क्लिक गर्नुहोस्
➤ पुन: कन्फिगर योग्य। विभाजनको रूपमा सेट गरिएको प्रत्येक उदाहरणको छेउमा एउटा डिजाइन विभाजन प्रतिमा देखिन्छ।
चित्र 4. डिजाइन विभाजनहरू सिर्जना गर्दै - u_top_counter उदाहरणको लागि विभाजन सिर्जना गर्न चरण 1 दोहोर्याउनुहोस्।
- असाइनमेन्टमा क्लिक गर्नुहोस् ➤ डिजाइन विभाजन विन्डो। सञ्झ्यालले परियोजनामा सबै डिजाइन विभाजनहरू देखाउँछ।
चित्र ४. डिजाइन विभाजन सञ्झ्याल
- blinking_led विभाजन नाम कक्षलाई pr_partition मा पुन: नामाकरण गर्न डबल-क्लिक गर्नुहोस्। त्यसै गरी, top_counter विभाजनलाई supr_partition मा पुन: नामाकरण गर्नुहोस्।
वैकल्पिक रूपमा, blinking_led.qsf मा निम्न रेखाहरू थप्दा यी विभाजनहरू सिर्जना हुन्छन्:
set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity top
set_instance_assignment -नाम PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
set_instance_assignment -नाम PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity शीर्ष
१.५.३। चरण 1.5.3: स्थान र रूटिङ क्षेत्रहरू आवंटित गर्नुहोस्
तपाईंले सिर्जना गर्नुभएको प्रत्येक आधार संशोधनको लागि, कम्पाइलरले आरक्षित क्षेत्रमा सम्बन्धित व्यक्ति कोर राख्न PR विभाजन क्षेत्र आवंटन प्रयोग गर्दछ। तपाईंको आधार संशोधनको लागि यन्त्र फ्लोरप्लानमा PR क्षेत्र पत्ता लगाउन र तोक्न यी चरणहरू पालना गर्नुहोस्:
- प्रोजेक्ट नेभिगेटर हाइरार्की ट्याबमा, u_blinking_led उदाहरणमा दायाँ क्लिक गर्नुहोस्, र त्यसपछि Logic Lock Region ➤ नयाँ Logic Lock Region सिर्जना गर्नुहोस्। क्षेत्र Logic Lock Regions सञ्झ्यालमा देखिन्छ।
- क्षेत्र 5 को चौडाइ र 5 को उचाइ निर्दिष्ट गर्नुहोस्।
- उत्पत्ति स्तम्भमा u_blinking_led को लागि प्लेसमेन्ट क्षेत्र निर्देशांकहरू निर्दिष्ट गर्नुहोस्। उत्पत्ति क्षेत्रको तल्लो-बायाँ कुनासँग मेल खान्छ। X166_Y199 को रूपमा उत्पत्ति निर्दिष्ट गर्नुहोस्। कम्पाइलरले शीर्ष-दायाँ समन्वयको रूपमा (X170 Y203) गणना गर्दछ।
- क्षेत्रका लागि आरक्षित र कोर-मात्र विकल्पहरू सक्षम गर्नुहोस्।
- रूटिङ क्षेत्र विकल्पमा डबल क्लिक गर्नुहोस्। Logic Lock Routing Region Settings संवाद बाकस देखिन्छ।
- राउटिङ प्रकारको लागि, विस्तारसँग फिक्स्ड चयन गर्नुहोस्। यो विकल्पले स्वचालित रूपमा एकको विस्तार लम्बाइ प्रदान गर्दछ।
- u_top_counter विभाजनको लागि निम्न स्रोतहरू आवंटित गर्न अघिल्लो चरणहरू दोहोर्याउनुहोस्:
• उचाइ-५
• चौडाइ-५
• उत्पत्ति—X173_Y199
• राउटिंग क्षेत्र— एकको विस्तार लम्बाइको साथ विस्तारको साथ निश्चित।
• आरक्षित—अन
• कोर-मात्र—अन
चित्र 6. तर्क लक क्षेत्र सञ्झ्याल
नोट: कम्पाइलरको राउटिङका लागि थप लचिलोपन प्रदान गर्न राउटिङ क्षेत्र प्लेसमेन्ट क्षेत्रभन्दा ठूलो हुनुपर्छ।tage, जब कम्पाइलरले विभिन्न व्यक्तिहरूलाई मार्ग गर्दछ।
- तपाईंको प्लेसमेन्ट क्षेत्रले blinking_led तर्कलाई संलग्न गर्नुपर्छ। चिप प्लानरमा नोड पत्ता लगाएर प्लेसमेन्ट क्षेत्र चयन गर्न, Logic Lock Regions विन्डोमा u_blinking_led क्षेत्रको नाममा दायाँ क्लिक गर्नुहोस्, र त्यसपछि Locate Node ➤ Locate in Chip Planner मा क्लिक गर्नुहोस्।
- विभाजन रिपोर्टहरू अन्तर्गत, रिपोर्ट डिजाइन विभाजनहरूमा डबल-क्लिक गर्नुहोस्। चिप प्लानरले क्षेत्रलाई हाइलाइट गर्दछ र रङ कोड दिन्छ।
चित्र 7. blinking_led को लागी चिप प्लानर नोड स्थान
वैकल्पिक रूपमा, blinking_led.qsf मा निम्न रेखाहरू थप्दा यी क्षेत्रहरू सिर्जना हुन्छन्:
set_instance_assignment -name PARTITION pr_partition -to\u_blinking_led -entity top
set_instance_assignment -नाम PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -नाम PARTIAL_RECONFIGURATION_PARTITION ON -to\u_top_counter -entity top
set_instance_assignment -name PLACE_REGION "X166 Y199 X170 Y203" -to \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION "X165 Y198 X171 Y204" -to \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -name PLACE_REGION "X173 Y199 X177 Y203" - to\u_top_counter
set_instance_assignment -नाम RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION "X172 Y198 X178 Y204" - to \ u_top_counter
set_instance_assignment -नाम RESERVE_ROUTE_REGION OFF -to u_top_counter
१.५.४। चरण 1.5.4: व्यक्तित्वहरू परिभाषित गर्नुहोस्
यस सन्दर्भ डिजाइनले एकल PR विभाजनको लागि तीन अलग-अलग व्यक्तित्वहरू, र SUPR क्षेत्रको लागि एक SUPR व्यक्तित्व परिभाषित गर्दछ। तपाईंको परियोजनामा यी व्यक्तिहरूलाई परिभाषित गर्न र समावेश गर्न यी चरणहरू पालना गर्नुहोस्। यदि Intel Quartus Prime Text Editor प्रयोग गर्दै हुनुहुन्छ भने, Add लाई असक्षम पार्नुहोस् file
बचत गर्दा हालको परियोजनामा files.
- नयाँ blinking_led_slow.sv, blinking_led_empty.sv, र top_counter_fast.sv SystemVerilog सिर्जना गर्नुहोस् fileतपाईंको कार्य निर्देशिकामा s। पुष्टि गर्नुहोस् कि blinking_led.sv पहिले नै कार्यरत डाइरेक्टरीमा अवस्थित छ।
- SystemVerilog को लागि निम्न सामग्री प्रविष्ट गर्नुहोस् files:
तालिका २. सन्दर्भ डिजाइन व्यक्तित्व प्रणाली भेरिलॉग
File नाम विवरण कोड blinking_led_slow। sv LEDs बिस्तारै झिम्किन्छ टाइमस्केल 1 ps / 1 ps 'default_nettype कुनै पनि छैन
मोड्युल blinking_led_slow // घडी
इनपुट तार घडी, इनपुट तार रिसेट, इनपुट तार [३१:०१ काउन्टर,
// LEDs आउटपुट तार led_two_on को लागि नियन्त्रण संकेतहरू,
आउटपुट तार led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; लेग led_three_on_r; असाइन गर्नुहोस् led_two_on = led_two_on_r; led_three_on = led_three_on_r असाइन गर्नुहोस्; सधैं_ff @(पोजेज घडी) सुरु हुन्छ led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; अन्त्य endmoduleblinking_led_empty। sv LEDs सक्रिय रहन्छ timescale 1 ps / 1 ps 'default_nettype none मोड्युल blinking_led_empty( // घडी इनपुट तार घडी, इनपुट तार रिसेट, इनपुट तार [३१:०१ काउन्टर, // LEC- आउटपुट तार led_two_on, आउटपुट तार led_three को लागि नियन्त्रण संकेतहरू जारी… File नाम विवरण कोड // LED सक्रिय छ कम असाइन led_two_on = l'IDO; led_three_on = 11b0 असाइन गर्नुहोस्; endmodule top_counter_fast.sv दोस्रो SUPR 'टाइमस्केल 1 ps / 1 ps व्यक्तित्व Thdefault_nettype none मोड्युल top_counter_fast // LEDs आउटपुट तार led_one_on, आउटपुट तार [३१:०] गणना, // घडी इनपुट तार घडीका लागि नियन्त्रण संकेतहरू ); localparam COUNTER TAP = 23; reg [31:0] count_d; असाइन गणना = गणना_d; असाइन गर्नुहोस् led_one_on = ount_d [COUNTER_TAP]; सधैं_ff @(पोजेज घडी) सुरु हुन्छ गणना_डी <= गणना_डी + २; अन्त्य .: मोड्युल - क्लिक गर्नुहोस् File ➤ यस रूपमा बचत गर्नुहोस् र .sv बचत गर्नुहोस् fileहालको परियोजना डाइरेक्टरीमा s।
१.५.५। चरण 1.5.5: संशोधनहरू सिर्जना गर्नुहोस्
PR डिजाइन प्रवाहले Intel Quartus प्राइम सफ्टवेयरमा परियोजना संशोधन सुविधा प्रयोग गर्दछ। तपाईंको प्रारम्भिक डिजाइन आधार संशोधन हो, जहाँ तपाईंले FPGA मा स्थिर क्षेत्र सीमाहरू र पुन: कन्फिगर योग्य क्षेत्रहरू परिभाषित गर्नुहुन्छ। आधार संशोधनबाट, तपाइँ अतिरिक्त संशोधनहरू सिर्जना गर्नुहुन्छ। यी संशोधनहरूले PR क्षेत्रहरूका लागि विभिन्न कार्यान्वयनहरू समावेश गर्दछ। यद्यपि, सबै PR कार्यान्वयन संशोधनहरूले आधार संशोधनबाट उही शीर्ष-स्तर प्लेसमेन्ट र रूटिङ परिणामहरू प्रयोग गर्छन्। PR डिजाइन कम्पाइल गर्न, तपाईंले प्रत्येक व्यक्तित्वको लागि PR कार्यान्वयन संशोधन सिर्जना गर्नुहुन्छ। थप रूपमा, तपाईंले प्रत्येक संशोधनको लागि आंशिक पुन: कन्फिगरेसन - आधार वा आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन संशोधन प्रकार तोक्नुपर्छ। निम्न तालिकाले प्रत्येक संशोधनको लागि संशोधन नाम र संशोधन प्रकारलाई सूचीबद्ध गर्दछ। impl_blinking_led_supr_new.qsf संशोधन SUPR व्यक्तित्व कार्यान्वयन हो।
तालिका ३. संशोधन नाम र प्रकारहरू
संशोधन नाम | संशोधन प्रकार |
blinking_led | आंशिक पुन: कन्फिगरेसन - आधार |
blinking_led_default | आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन |
blinking_led_slow | आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन |
blinking_led_empty | आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन |
impl_blinking_led_supr_new | आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन |
१.५.५.१। आधार संशोधन सेट गर्दै
blinking_led लाई आधार संशोधनको रूपमा सेट गर्न यी चरणहरू पालना गर्नुहोस्:
- परियोजना ➤ संशोधनहरूमा क्लिक गर्नुहोस्।
- संशोधन प्रकारको लागि, आंशिक पुन: कन्फिगरेसन - आधार चयन गर्नुहोस्।
यो चरणले blinking_led.qsf मा निम्न थप्छ:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
१.५.५.२। कार्यान्वयन संशोधनहरू सिर्जना गर्दै
कार्यान्वयन संशोधनहरू सिर्जना गर्न यी चरणहरू पालना गर्नुहोस्:
- संशोधन संवाद बक्समा, डबल-क्लिक गर्नुहोस् < >।
- संशोधन नाममा, blinking_led_default निर्दिष्ट गर्नुहोस् र संशोधनको आधारमा blinking_led चयन गर्नुहोस्।
- संशोधन प्रकारको लागि, आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन चयन गर्नुहोस्।
- हालको संशोधन विकल्पको रूपमा सेट गर्नुहोस् असक्षम गर्नुहोस्।
- अन्य कार्यान्वयन संशोधनहरूको लागि संशोधन प्रकार सेट गर्न चरणहरू 2 देखि 5 दोहोर्याउनुहोस्:
संशोधन नाम | संशोधन प्रकार | Revisio मा आधारितn |
blinking_led_slow | आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन | blinking_led |
blinking_led_empty | आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन | blinking_led |
impl_blinking_led_supr_new | आंशिक पुन: कन्फिगरेसन - व्यक्तित्व कार्यान्वयन | blinking_led |
चित्र 8. कार्यान्वयन संशोधनहरू सिर्जना गर्दै
प्रत्येक .qsf file अब निम्न असाइनमेन्ट समावेश छ:
set_global_assignment -नाम REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
१.५.६। चरण 1.5.6: आधार संशोधन कम्पाइल गर्नुहोस्
आधार संशोधन कम्पाइल गर्न यी चरणहरू पालना गर्नुहोस् र नयाँ PR व्यक्तिहरूको लागि कार्यान्वयन संशोधनहरूमा पछि प्रयोगको लागि स्थिर र SUPR क्षेत्रहरू निर्यात गर्नुहोस्:
- यदि पहिले नै सेट गरिएको छैन भने blinking_led लाई हालको संशोधनको रूपमा सेट गर्नुहोस्।
- डिजाइन विभाजन सञ्झ्यालमा, सबैभन्दा टाढाको दायाँ स्तम्भको छेउमा रहेको (...) मा क्लिक गर्नुहोस् र पोस्ट फाइनल एक्सपोर्ट सक्षम गर्नुहोस्। File स्तम्भ। तपाईं स्तम्भहरूको क्रम असक्षम वा परिवर्तन गर्न सक्नुहुन्छ।
- प्रत्येक संकलन पछि PR कार्यान्वयन डिजाइन विभाजनहरूको अन्तिम स्न्यापसट स्वचालित रूपमा निर्यात गर्न, पोस्ट फाइनल निर्यातको लागि निम्न निर्दिष्ट गर्नुहोस्। File रूट र SUPR विभाजनका लागि विकल्पहरू। .qdb files पूर्वनिर्धारित रूपमा परियोजना निर्देशिकामा निर्यात गर्नुहोस्।
• root_partition — blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
चित्र 9. डिजाइन विभाजन विन्डोमा स्वत: निर्यातवैकल्पिक रूपमा, निम्न .qsf असाइनमेन्टहरूले प्रत्येक संकलन पछि स्वचालित रूपमा विभाजनहरू निर्यात गर्दछ:
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - निकाय शीर्ष
set_instance_assignment -नाम EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity शीर्ष - blinking_led आधार संशोधन कम्पाइल गर्न, प्रशोधन ➤ सुरुमा क्लिक गर्नुहोस्
संकलन। वैकल्पिक रूपमा, तपाइँ यो संशोधन कम्पाइल गर्न निम्न आदेश प्रयोग गर्न सक्नुहुन्छ:
quartus_sh -flow compile blinking_led -c blinking_led सफल संकलन पछि, निम्न fileपरियोजना डाइरेक्टरीमा देखा पर्दछ:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
१.५.७। चरण 1.5.7: PR कार्यान्वयन संशोधनहरू सेट अप गर्नुहोस्
तपाईंले उपकरण प्रोग्रामिङको लागि PR बिटस्ट्रिम उत्पन्न गर्नु अघि PR कार्यान्वयन संशोधनहरू तयार गर्नुपर्छ। यो सेटअपले स्थिर क्षेत्र .qdb थप्ने समावेश गर्दछ file स्रोतको रूपमा file प्रत्येक कार्यान्वयन संशोधनको लागि। साथै, तपाईंले निर्दिष्ट गर्नुपर्छ
PR क्षेत्रको सम्बन्धित निकाय। PR कार्यान्वयन संशोधनहरू सेटअप गर्न यी चरणहरू पालना गर्नुहोस्:
- हालको संशोधन सेट गर्नको लागि, परियोजना ➤ संशोधनहरूमा क्लिक गर्नुहोस्, ब्लिंकिङ_लेड_डिफल्टलाई संशोधन नामको रूपमा चयन गर्नुहोस्, र त्यसपछि वर्तमान सेट गर्नुहोस् क्लिक गर्नुहोस्। वैकल्पिक रूपमा, तपाइँ मुख्य Intel Quartus प्राइम टूलबारमा हालको संशोधन चयन गर्न सक्नुहुन्छ।
- यो कार्यान्वयन संशोधनको लागि सही स्रोत प्रमाणित गर्न, परियोजना ➤ थप्नुहोस्/हटाउनुहोस् क्लिक गर्नुहोस् Fileपरियोजना मा छ। पुष्टि गर्नुहोस् कि blinking_led.sv file मा देखिन्छ file सूची।
- सही स्रोत प्रमाणित गर्न file कार्यान्वयन संशोधनहरूको लागि, परियोजना ➤ थप्नुहोस्/हटाउनुहोस् क्लिक गर्नुहोस् fileपरियोजनामा s, र निम्न स्रोत थप्नुहोस् fileकार्यान्वयन संशोधनको लागि। यदि अवस्थित छ भने, परियोजनाको सूचीबाट blinking_led.sv हटाउनुहोस् files.
Iपूरक संशोधन नाम स्रोत File blinking_led_empty blinking_led_empty.sv blinking_led_slow blinking_led_slow.sv - blinking_led_default लाई हालको संशोधनको रूपमा सेट गर्नुहोस्।
- .qdb निर्दिष्ट गर्न file root_partition को स्रोतको रूपमा, Assignments ➤ Design Partitions Window मा क्लिक गर्नुहोस्। विभाजन डाटाबेसमा डबल-क्लिक गर्नुहोस् File सेल र blinking_led_static.qdb निर्दिष्ट गर्नुहोस् file.
- त्यस्तै, विभाजन डाटाबेसको रूपमा blinking_led_supr_partition_final.qdb निर्दिष्ट गर्नुहोस्। File supr_partition को लागि।
चित्र १।
वैकल्पिक रूपमा, .qdb निर्दिष्ट गर्न निम्न .qsf असाइनमेन्टहरू प्रयोग गर्नुहोस्:
set_instance_assignment -नाम QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
set_instance_assignment -नाम QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter - डिजाईन विभाजन सञ्झ्यालमा, सबैभन्दा टाढाको दायाँ स्तम्भको छेउमा रहेको (…) मा क्लिक गर्नुहोस् र Entity Re-binding स्तम्भ सक्षम गर्नुहोस्।
-
इकाई पुन: बाइन्डिङ कक्षमा, हालको कार्यान्वयन संशोधनमा परिवर्तन गरिरहनुभएको PR विभाजनको लागि नयाँ इकाई नाम निर्दिष्ट गर्नुहोस्। blinking_led_default कार्यान्वयन संशोधनको लागि, निकायको नाम blinking_led हो। यस अवस्थामा, तपाइँ नयाँ इकाई blinking_led संग आधार संशोधन कम्पाइलबाट u_blinking_led उदाहरण अधिलेखन गर्दै हुनुहुन्छ। अन्य कार्यान्वयन संशोधनहरूको लागि, निम्न तालिकालाई सन्दर्भ गर्नुहोस्:
संशोधन संस्था पुन: बाध्यकारी मान blinking_led_slow blinking_led_slow blinking_led_empty blinking_led_empty चित्र 11. निकाय रिबाइन्डिङ
वैकल्पिक रूपमा, तपाईंले प्रत्येक संशोधनको .qsf मा निम्न लाइनहरू प्रयोग गर्न सक्नुहुन्छ कार्यहरू सेट गर्न:
##blinking_led_default.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -नाम ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -नाम ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - supr_partition को लागि निकाय पुन: बाध्यकारी कक्षबाट place_holder पाठ मेटाउनुहोस्।
- डिजाइन कम्पाइल गर्न, क्लिक गर्नुहोस् प्रशोधन ➤ संकलन सुरु गर्नुहोस्। वैकल्पिक रूपमा, यो परियोजना कम्पाइल गर्न निम्न आदेश प्रयोग गर्नुहोस्: quartus_sh –flow compile blinking_led –c blinking_led_default
- blinking_led_slow र blinking_led_empty कार्यान्वयन संशोधनहरू तयार गर्न र कम्पाइल गर्न चरणहरू 4 देखि 11 सम्म दोहोर्याउनुहोस्।
१.५.८। चरण 1.5.8: SUPR तर्क परिवर्तन गर्नुहोस्
SUPR विभाजन भित्र तर्कको कार्यक्षमता परिवर्तन गर्न, तपाईंले SUPR विभाजन स्रोत परिवर्तन गर्नुपर्छ। SUPR विभाजनमा u_top_counter उदाहरणलाई top_counter_fast इकाइसँग प्रतिस्थापन गर्न निम्न चरणहरू पूरा गर्नुहोस्।
- SUPR कार्यान्वयन संशोधनलाई हालको रूपमा सेट गर्न, परियोजना ➤ संशोधनहरूमा क्लिक गर्नुहोस् र हालको संशोधनको रूपमा impl_blinking_led_supr_new सेट गर्नुहोस्, वा चयन गर्नुहोस्।
Intel Quartus प्राइम मुख्य उपकरणपट्टीमा संशोधन। - सही स्रोत प्रमाणित गर्न file कार्यान्वयन संशोधनको लागि, Project ➤ मा क्लिक गर्नुहोस्
थप्नुहोस्/हटाउनुहोस् fileपरियोजनामा s, र प्रमाणित गर्नुहोस् कि top_counter_fast.sv impl_blinking_led_supr_new कार्यान्वयन संशोधनको स्रोत हो। यदि अवस्थित छ भने, परियोजनाको सूचीबाट top_counter.sv हटाउनुहोस् files. - .qdb निर्दिष्ट गर्न file मूल विभाजनसँग सम्बन्धित, असाइनमेन्ट ➤ डिजाइन विभाजन विन्डोमा क्लिक गर्नुहोस्, र त्यसपछि विभाजन डाटाबेसमा डबल-क्लिक गर्नुहोस्। File blinking_led_static.qdb निर्दिष्ट गर्न सेल।
वैकल्पिक रूपमा, यो असाइन गर्न निम्न आदेश प्रयोग गर्नुहोस् file: set_instance_assignment -नाम QDB_FILE_PARTITION \ blinking_led_static.qdb -to | - pr_partition को लागि Entity Re-binding cell मा, उपयुक्त एकाइको नाम निर्दिष्ट गर्नुहोस्। यसका लागि पूर्वample, blinking_led_empty इकाई निर्दिष्ट गर्नुहोस्। यस अवस्थामा, तपाइँ नयाँ इकाई लिङ्किङ_लेड_empty संग आधार संशोधन कम्पाइलबाट u_blinking_led उदाहरण अधिलेखन गर्दै हुनुहुन्छ। निम्न रेखा अब .qsf मा अवस्थित छ:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - supr_partition को लागि Entity Re-binding cell मा, top_counter_fast इकाई निर्दिष्ट गर्नुहोस्। top_counter_fast स्थिर इकाईको नाम हो जुन तपाईंले SUPR पूरा गर्दा u_top_counter लाई प्रतिस्थापन गर्दछ।
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- डिजाइन कम्पाइल गर्न, क्लिक गर्नुहोस् प्रशोधन ➤ संकलन सुरु गर्नुहोस्। वैकल्पिक रूपमा, यो परियोजना संशोधन कम्पाइल गर्न निम्न आदेश प्रयोग गर्नुहोस्: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new
१.५.९। चरण 1.5.9: बोर्डलाई कार्यक्रम गर्नुहोस्
Intel Agilex F-Series FPGA विकास बोर्ड जडान र कार्यक्रम गर्न यी चरणहरू पालना गर्नुहोस्।
- Intel Agilex F-Series FPGA विकास बोर्डमा बिजुली आपूर्ति जडान गर्नुहोस्।
- आफ्नो PC USB पोर्ट र विकास बोर्डमा USB प्रोग्रामिङ हार्डवेयर बीच USB केबल जडान गर्नुहोस्।
- Intel Quartus प्राइम सफ्टवेयर खोल्नुहोस्, र त्यसपछि Tools ➤ Programmer मा क्लिक गर्नुहोस्। कार्यक्रम विकास बोर्डलाई सन्दर्भ गर्नुहोस्।
- प्रोग्रामरमा, हार्डवेयर सेटअपमा क्लिक गर्नुहोस्, र त्यसपछि USB-Blaster चयन गर्नुहोस्।
- स्वत: पत्ता लगाउनुहोस् क्लिक गर्नुहोस्, र त्यसपछि AGFB014R24B उपकरण चयन गर्नुहोस्।
- ठीक क्लिक गर्नुहोस्। Intel Quartus प्राइम सफ्टवेयरले बोर्डमा रहेका तीन FPGA यन्त्रहरूसँग प्रोग्रामर पत्ता लगाउँछ र अद्यावधिक गर्दछ।
- AGFB014R24B उपकरण चयन गर्नुहोस्, परिवर्तन क्लिक गर्नुहोस् File, र blinking_led_default.sof लोड गर्नुहोस् file.
- blinking_led_default.sof को लागि कार्यक्रम/कन्फिगर सक्षम गर्नुहोस् file.
- सुरुमा क्लिक गर्नुहोस् र प्रगति पट्टी 100% पुग्नको लागि पर्खनुहोस्।
- बोर्डमा झिम्किरहेको एलईडीहरू हेर्नुहोस्।
- PR क्षेत्र मात्र प्रोग्राम गर्न, blinking_led_default.sof मा दायाँ क्लिक गर्नुहोस् file Programmer मा र Add PR Programming मा क्लिक गर्नुहोस् File। blinking_led_slow.pr_partition.rbf चयन गर्नुहोस् file.
- blinking_led_default.sof को लागि कार्यक्रम/कन्फिगर असक्षम गर्नुहोस् file.
- blinking_led_slow.pr_partition.rbf को लागि कार्यक्रम/कन्फिगर सक्षम गर्नुहोस् file, र त्यसपछि सुरु क्लिक गर्नुहोस्। बोर्डमा, LED[0] र LED[1] लाई निरन्तर झिम्किरहेको अवलोकन गर्नुहोस्। जब प्रगति पट्टी 100% पुग्छ, LED[2] र LED[3] बिस्तारै झिम्किन्छ।
- PR क्षेत्र पुन: कार्यक्रम गर्न, .rbf मा दायाँ क्लिक गर्नुहोस् file प्रोग्रामरमा, र त्यसपछि PR प्रोग्रामिङ परिवर्तन गर्नुहोस् क्लिक गर्नुहोस् File.
- .rbf चयन गर्नुहोस् fileअन्य दुई व्यक्तिहरूको लागि बोर्डमा व्यवहार अवलोकन गर्न। blinking_led_default.pr_partition.rbf लोड गर्दै file LED लाई मूल फ्रिक्वेन्सीमा झिम्काउन, र blinking_led_empty.pr_partition.rbf लोड गर्ने कारण बनाउँछ file LEDs सक्रिय रहन को कारण। 17. SUPR तर्क परिवर्तन गर्न, impl_blinking_led_supr_new.sof चयन गर्न माथिको चरण 7 दोहोर्याउनुहोस्। यो परिवर्तन पछि file, led [0:1] अब पहिले भन्दा छिटो दरमा झिम्किन्छ। अर्को PR .rbf files नयाँ .sof सँग पनि मिल्दो छ।
नोट: एसेम्बलरले .rbf उत्पन्न गर्छ file SUPR क्षेत्र को लागी। यद्यपि, तपाईंले यो प्रयोग गर्नु हुँदैन file FPGA लाई रनटाइममा पुन: प्रोग्राम गर्नको लागि किनभने SUPR विभाजनले फ्रिज ब्रिज, PR क्षेत्र नियन्त्रक, र समग्र प्रणालीमा अन्य तर्कहरू इन्स्ट्यान्ट गर्दैन। जब तपाइँ SUPR विभाजन तर्कमा परिवर्तन गर्नुहुन्छ, तपाइँले पूर्ण .sof पुन: प्रोग्राम गर्नुपर्छ file SUPR कार्यान्वयन संशोधन संकलनबाट।
चित्र 12. विकास बोर्ड प्रोग्रामिंग
१.५.९.१। पीआर प्रोग्रामिङ त्रुटिहरू निवारण
इंटेल क्वार्टस प्राइम प्रोग्रामर र जडान गरिएको हार्डवेयरको उचित सेटअप सुनिश्चित गर्नाले PR प्रोग्रामिङको समयमा कुनै पनि त्रुटिहरूबाट बच्न मद्दत गर्दछ।
यदि तपाइँ कुनै PR प्रोग्रामिङ त्रुटिहरूको सामना गर्नुहुन्छ भने, Intel Quartus प्राइम प्रो संस्करण प्रयोगकर्ता गाइडमा "समस्या निवारण PR प्रोग्रामिङ त्रुटिहरू" लाई सन्दर्भ गर्नुहोस्: चरण-दर-चरण समस्या निवारण सुझावहरूको लागि आंशिक पुन: कन्फिगरेसन।
सम्बन्धित जानकारी
पीआर प्रोग्रामिङ त्रुटिहरू निवारण
१.५.१०। SUPR विभाजन परिमार्जन गर्दै
तपाइँ अवस्थित SUPR विभाजन परिमार्जन गर्न सक्नुहुन्छ। SUPR विभाजन परिमार्जन गरेपछि, तपाईंले यसलाई कम्पाइल गर्नुपर्छ, .sof उत्पन्न गर्नुहोस् file, र बोर्ड प्रोग्राम गर्नुहोस्, अन्य व्यक्तित्वहरू कम्पाइल नगरी। पूर्वका लागिample, छिटो गणना गर्न top_counter_fast.sv मोड्युल परिवर्तन गर्न यी चरणहरू पालना गर्नुहोस्:
- हालको संशोधनको रूपमा impl_blinking_led_supr_new सेट गर्नुहोस्।
- top_counter_fast.sv मा file, count_d + 2 कथनलाई count_d + 4 ले बदल्नुहोस्।
- SUPR ब्लक पुन: संश्लेषण गर्न र नयाँ .sof उत्पन्न गर्न निम्न आदेशहरू चलाउनुहोस्। file: quartus_sh -flow कम्पाइल blinking_led \ -c impl_blinking_led_supr_new
परिणामस्वरूप .sof ले अब नयाँ SUPR क्षेत्र समावेश गर्दछ, र पूर्वनिर्धारित (पावर-अन) व्यक्तित्वको लागि blinking_led प्रयोग गर्दछ।
१.६। AN 1.6 को कागजात संशोधन इतिहास: स्थिर अपडेट आंशिक पुन: कन्फिगरेसन ट्यूटोरियल संशोधन इतिहास
कागजात संस्करण | इंटेल क्वार्टस प्राइम संस्करण | परिवर्तनहरू |
2022.10.24 | १०८०। | कागजातको प्रारम्भिक विमोचन। |
Intel® Quartus®Prime Design Suite का लागि अपडेट गरिएको: 22.3
शीर्ष FAQ को जवाफ:
प्रतिक्रिया पठाउनुहोस्
Q स्थिर अद्यावधिक आंशिक पुन: कन्फिगरेसन के हो
पृष्ठ 3 मा एक स्थिर अद्यावधिक आंशिक पुन: कन्फिगरेसन
Q मलाई यो ट्यूटोरियलको लागि के चाहिन्छ?
पृष्ठ 3 मा ट्यूटोरियल आवश्यकताहरू
Q म सन्दर्भ डिजाइन कहाँ पाउन सक्छु?
एक डाउनलोड सन्दर्भ डिजाइन Fileपृष्ठ १३ मा छ
Q म कसरी SUPR डिजाइन सिर्जना गर्छु?
पृष्ठ 6 मा एक सन्दर्भ डिजाइन वाकथ्रु
Q एक PR व्यक्तित्व के हो?
पृष्ठ १० मा व्यक्तित्वहरू परिभाषित गर्नुहोस्
Q म कसरी SUPR तर्क परिवर्तन गर्न सक्छु? पृष्ठ १६ मा SUPR तर्क परिवर्तन गर्नुहोस्
पृष्ठ १६ मा SUPR तर्क परिवर्तन गर्नुहोस्
Q म बोर्डलाई कसरी प्रोग्राम गर्छु?
पृष्ठ 18 मा बोर्डको कार्यक्रम
Q PR ज्ञात मुद्दाहरू र सीमितताहरू के हुन्?
एक इंटेल FPGA समर्थन फोरम: PR
अनलाइन संस्करण
प्रतिक्रिया पठाउनुहोस्
ID: 749443
AN-987
संस्करण: 2022.10.24
कागजातहरू / स्रोतहरू
![]() |
intel Agilex F-Series FPGA विकास बोर्ड [pdf] प्रयोगकर्ता गाइड Agilex F-Series, Agilex F-Series FPGA विकास बोर्ड, FPGA विकास बोर्ड, विकास बोर्ड, बोर्ड |