eCPRI Intel® FPGA आईपी डिजाइन
Exampले प्रयोगकर्ता गाइड
Intel® का लागि अद्यावधिक गरिएको
क्वार्टस®
प्राइम डिजाइन सुइट: 23.1
IP संस्करण: 2.0.3
द्रुत सुरुवात गाइड
परिष्कृत साझा सार्वजनिक रेडियो इन्टरफेस (eCPRI) Intel® FPGA IP कोरले eCPRI विशिष्टता संस्करण 2.0 लागू गर्दछ। eCPRI Intel FPGA IP ले सिमुलेशन टेस्टबेन्च र हार्डवेयर डिजाइन पूर्व प्रदान गर्दछample जसले संकलन र हार्डवेयर परीक्षणलाई समर्थन गर्दछ। जब तपाइँ डिजाइन उत्पन्न गर्नुहुन्छ पूर्वampले, प्यारामिटर सम्पादकले स्वचालित रूपमा सिर्जना गर्दछ fileडिजाइनको अनुकरण, कम्पाइल र परीक्षण गर्न आवश्यक छampले हार्डवेयर मा।
कम्पाइल गरिएको हार्डवेयर डिजाइन पूर्वample चल्छ:
- Intel Agilex™ 7 I-Series FPGA विकास किट
- Intel Agilex 7 I-Series Transceiver-SoC विकास किट
- Intel Agilex 7 F-Series Transceiver-SoC विकास किट
- Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit H-Tile डिजाइन पूर्वको लागिampलेस
- ई-टाइल डिजाइन पूर्वको लागि Intel Stratix 10 TX ट्रान्ससिभर सिग्नल इन्टिग्रिटी विकास किटampलेस
- Intel Arria® 10 GX Transceiver Signal Integrity Development Kit
इंटेलले एक संकलन-मात्र पूर्व प्रदान गर्दछample परियोजना जुन तपाइँ छिटो आईपी कोर क्षेत्र र समय अनुमान गर्न प्रयोग गर्न सक्नुहुन्छ।
टेस्टबेन्च र डिजाइन पूर्वample ले 25G र 10G डाटा दरहरूलाई Intel Stratix 10 H-tile वा E-tile र Intel Agilex 7 E-Tile वा F-Tile उपकरण eCPRI IP को भिन्नताहरूको लागि समर्थन गर्दछ।
नोट: eCPRI IP डिजाइन पूर्वample with interworking function (IWF) हालको विमोचनमा 9.8 Gbps CPRI लाइन बिट दरको लागि मात्र उपलब्ध छ।
नोट: eCPRI IP डिजाइन पूर्वample ले Intel Arria 10 डिजाइनहरूमा 10G डाटा दरको लागि गतिशील पुन: कन्फिगरेसनलाई समर्थन गर्दैन।
eCPRI Intel FPGA IP कोर डिजाइन पूर्वampले निम्न सुविधाहरू समर्थन गर्दछ:
- आन्तरिक TX देखि RX सिरियल लुपब्याक मोड
- ट्राफिक जेनरेटर र परीक्षक
- आधारभूत प्याकेट जाँच क्षमताहरू
- डिजाइन चलाउन र पुन: परीक्षण उद्देश्यको लागि डिजाइन रिसेट गर्न प्रणाली कन्सोल प्रयोग गर्ने क्षमता
इंटेल कर्पोरेशन। सबै अधिकार सुरक्षित। Intel, Intel लोगो, र अन्य Intel मार्कहरू Intel Corporation वा यसको सहायक कम्पनीहरूको ट्रेडमार्क हुन्। Intel ले आफ्नो FPGA र अर्धचालक उत्पादनहरूको प्रदर्शनलाई Intel को मानक वारेन्टी अनुसार हालको विशिष्टताहरूमा वारेन्टी दिन्छ, तर सूचना बिना कुनै पनि समयमा कुनै पनि उत्पादन र सेवाहरूमा परिवर्तन गर्ने अधिकार सुरक्षित गर्दछ। Intel ले यहाँ वर्णन गरिएको कुनै पनि जानकारी, उत्पादन, वा सेवाको आवेदन वा प्रयोगबाट उत्पन्न हुने कुनै जिम्मेवारी वा दायित्व ग्रहण गर्दैन बाहेक Intel द्वारा लिखित रूपमा स्पष्ट रूपमा सहमत भए। Intel ग्राहकहरूलाई कुनै पनि प्रकाशित जानकारीमा भर पर्नु अघि र उत्पादन वा सेवाहरूको लागि अर्डर राख्नु अघि उपकरण विशिष्टताहरूको नवीनतम संस्करण प्राप्त गर्न सल्लाह दिइन्छ। *अन्य नाम र ब्रान्डहरू अरूको सम्पत्तिको रूपमा दाबी गर्न सकिन्छ।
ISO 9001:2015 दर्ता गरिएको
चित्र १। डिजाइनको लागि विकास चरणहरू पूर्वample
सम्बन्धित जानकारी
- eCPRI इंटेल FPGA आईपी प्रयोगकर्ता गाइड
- eCPRI Intel FPGA IP रिलीज नोटहरू
१.१। हार्डवेयर र सफ्टवेयर आवश्यकताहरू
पूर्व परीक्षण गर्नampले डिजाइन, निम्न हार्डवेयर र सफ्टवेयर प्रयोग गर्नुहोस्:
- Intel Quartus® प्राइम प्रो संस्करण सफ्टवेयर संस्करण 23.1
- प्रणाली कन्सोल
- समर्थित सिमुलेटरहरू:
- Siemens* EDA QuestaSim*
- Synopsys * VCS *
- Synopsys VCS MX
— Aldec* Riviera-PRO*
- Cadence * Xcelium * - विकास किट:
- Intel Agilex 7 I-Series FPGA विकास किट
— Intel Agilex 7 I-Series Transceiver-SoC विकास किट
— Intel Agilex 7 F-Series ट्रान्ससिभर-SoC विकास किट
— एच-टाइल उपकरण भिन्नता डिजाइन पूर्वका लागि इंटेल स्ट्र्याटिक्स १० GX ट्रान्ससिभर सिग्नल इन्टिग्रिटी डेभलपमेन्ट किटample
- ई-टाइल उपकरण भिन्नता डिजाइन पूर्वको लागि इंटेल स्ट्र्याटिक्स 10 TX ट्रान्ससिभर सिग्नल इन्टिग्रिटी विकासample
— Intel Arria 10 GX ट्रान्सीभर सिग्नल इन्टिग्रिटी डेभलपमेन्ट किट
सम्बन्धित जानकारी
- Intel Agilex 7 I-Series FPGA विकास किट प्रयोगकर्ता गाइड
- Intel Agilex 7 I-Series Transceiver-SoC विकास किट प्रयोगकर्ता गाइड
- Intel Agilex 7 F-Series Transceiver-SoC विकास किट प्रयोगकर्ता गाइड
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit User Guide
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide
१.२। डिजाइन उत्पन्न गर्दै
पूर्व शर्त: तपाईंले eCPRI प्राप्त गरेपछि web- कोर आईपी, बचत गर्नुहोस् web- स्थानीय क्षेत्रमा कोर स्थापनाकर्ता। Windows/Linux सँग स्थापनाकर्ता चलाउनुहोस्। जब प्रोम्प्ट गरियो, स्थापना गर्नुहोस् webइन्टेल क्वार्टस प्राइम फोल्डरको रूपमा उही स्थानमा कोर।
eCPRI Intel FPGA IP अब IP क्याटलगमा देखिन्छ।
यदि तपाइँसँग पहिले नै Intel Quartus प्राइम प्रो संस्करण परियोजना छैन जसमा तपाइँको eCPRI Intel FPGA IP कोर एकीकृत गर्न, तपाइँले एउटा सिर्जना गर्नुपर्छ।
- Intel Quartus Prime Pro Edition सफ्टवेयरमा क्लिक गर्नुहोस् File ➤ नयाँ इन्टेल क्वार्टस प्राइम प्रोजेक्ट सिर्जना गर्न नयाँ प्रोजेक्ट विजार्ड, वा क्लिक गर्नुहोस् File ➤ अवस्थित इंटेल क्वार्टस प्राइम परियोजना खोल्न परियोजना खोल्नुहोस्। विजार्डले तपाइँलाई यन्त्र निर्दिष्ट गर्न संकेत गर्दछ।
- यन्त्र परिवार र गति ग्रेड आवश्यकताहरू पूरा गर्ने यन्त्र निर्दिष्ट गर्नुहोस्।
- समाप्त क्लिक गर्नुहोस्।
- IP क्याटलगमा, eCPRI Intel FPGA IP पत्ता लगाउनुहोस् र डबल-क्लिक गर्नुहोस्। नयाँ आईपी संस्करण विन्डो देखा पर्दछ।
eCPRI IP हार्डवेयर डिजाइन पूर्व उत्पन्न गर्न यी चरणहरू पालना गर्नुहोस्ample र testbench:
- IP क्याटलगमा, eCPRI Intel FPGA IP पत्ता लगाउनुहोस् र डबल-क्लिक गर्नुहोस्। नयाँ आईपी संस्करण विन्डो देखा पर्दछ।
- ठीक क्लिक गर्नुहोस्। प्यारामिटर सम्पादक देखिन्छ।
चित्र १। ExampeCPRI Intel FPGA IP प्यारामिटर सम्पादकमा le डिजाइन ट्याब - शीर्ष-स्तरको नाम निर्दिष्ट गर्नुहोस् तपाइँको अनुकूलन आईपी भिन्नता को लागी। प्यारामिटर सम्पादकले IP भिन्नता सेटिङहरूलाई a मा बचत गर्छ file नाम दिइएको .ip
- ठीक क्लिक गर्नुहोस्। प्यारामिटर सम्पादक देखिन्छ।
- सामान्य ट्याबमा, तपाईंको IP कोर भिन्नताका लागि प्यारामिटरहरू निर्दिष्ट गर्नुहोस्।
नोट: • तपाईंले eCPRI IP प्यारामिटर सम्पादकमा स्ट्रिमिङ प्यारामिटर सक्रिय गर्नुपर्छ जब तपाईंले डिजाइन पूर्वampले इन्टरवर्किङ फंक्शन (IWF) समर्थन प्यारामिटर सक्षम पारिएको छ,
• तपाईले CPRI लाईन बिट रेट (Gbit/s) अन्यलाई डिजाईन पूर्व उत्पादन गर्दा सेट गर्नुपर्छ।ampले इन्टरवर्किङ फंक्शन (IWF) समर्थन प्यारामिटर सक्षम पारिएको छ। - पूर्व माampले डिजाइन ट्याबमा, testbench उत्पन्न गर्न सिमुलेशन विकल्प चयन गर्नुहोस्, हार्डवेयर पूर्व उत्पन्न गर्न संश्लेषण विकल्प चयन गर्नुहोस्।ample डिजाइन, र testbench र हार्डवेयर डिजाइन पूर्व दुवै उत्पन्न गर्न संश्लेषण र सिमुलेशन विकल्प चयन गर्नुहोस्।ample।
- शीर्ष स्तर सिमुलेशन को लागी भाषा को लागी file, Verilog वा VHDL चयन गर्नुहोस्।
नोट: यो विकल्प तब मात्र उपलब्ध हुन्छ जब तपाइँ तपाइँको पूर्व को लागी सिमुलेशन विकल्प चयन गर्नुहुन्छampले डिजाइन। - शीर्ष स्तर संश्लेषण को लागी भाषा को लागी file, Verilog वा VHDL चयन गर्नुहोस्।
नोट: यो विकल्प तब मात्र उपलब्ध हुन्छ जब तपाइँ तपाइँको पूर्व को लागी संश्लेषण विकल्प चयन गर्नुहुन्छampले डिजाइन। - च्यानलहरूको संख्याको लागि, तपाईंले आफ्नो डिजाइनको लागि चाहिने च्यानलहरूको संख्या (1 देखि 4) प्रविष्ट गर्न सक्नुहुन्छ। पूर्वनिर्धारित मान 1 हो।
- क्लिक गर्नुहोस् पूर्व उत्पन्न गर्नुहोस्ampले डिजाइन। पूर्व चयन गर्नुहोस्ampले डिजाइन डाइरेक्टरी विन्डो देखिन्छ।
- यदि तपाइँ डिजाइन परिमार्जन गर्न चाहनुहुन्छ भने पूर्वampले डाइरेक्टरी मार्ग वा नाम पूर्वनिर्धारित देखि प्रदर्शित (ecpri_0_testbench), नयाँ मार्गमा ब्राउज गर्नुहोस् र नयाँ डिजाइन पूर्व टाइप गर्नुहोस्।ampले डाइरेक्टरी नाम।
- ठीक क्लिक गर्नुहोस्।
सम्बन्धित जानकारी
eCPRI इंटेल FPGA आईपी प्रयोगकर्ता गाइड
२.१। निर्देशिका संरचना
ईसीपीआरआई आईपी कोर डिजाइन पूर्वample file डाइरेक्टरीहरूले निम्न उत्पन्न समावेश गर्दछ fileडिजाइनको लागि s पूर्वample।
चित्र १। उत्पन्न पूर्व को निर्देशिका संरचनाampले डिजाइन
नोट:
- केवल Intel Arria 10 IP डिजाइन पूर्व मा उपस्थितampभिन्नता।
- केवल Intel Stratix 10 (H-tile वा E-tile) IP डिजाइनमा मात्र उपस्थित छampभिन्नता।
- Intel Agilex E-tile IP डिजाइन पूर्वमा मात्र उपस्थितampभिन्नता।
तालिका 1. eCPRI Intel FPGA IP कोर टेस्टबेन्च File विवरणहरू
File नामहरू | विवरण |
कुञ्जी Testbench र सिमुलेशन Files | |
<design_example_dir>/simulation/testbench/ ecpri_tb.sv | शीर्ष स्तरको टेस्टबेन्च file। टेस्टबेन्चले DUT र्यापरलाई इन्स्ट्यान्टियट गर्छ र प्याकेटहरू उत्पन्न गर्न र स्वीकार गर्न Verilog HDL कार्यहरू चलाउँछ। |
<design_example_dir>/simulation/testbench/ecpri_ed.sv | DUT रैपर जसले DUT र अन्य testbench कम्पोनेन्टहरू इन्स्ट्यान्टियट गर्छ। |
<design_example_dir>/simulation/ed_fw/flow.c | सी-कोड स्रोत file. |
Testbench लिपिहरू | |
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | टेस्टबेन्च चलाउनको लागि Siemens EDA QuestaSim लिपि। |
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | टेस्टबेन्च चलाउनको लागि Synopsys VCS लिपि। |
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Synopsys VCS MX स्क्रिप्ट (संयुक्त Verilog HDL र VHDL सँग SystemVerilog) testbench चलाउन। |
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | Aldec* Riviera-PRO लिपि testbench चलाउन। |
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | टेस्टबेन्च चलाउनको लागि Cadence* Xcelium लिपि। |
तालिका 2. eCPRI Intel FPGA IP कोर हार्डवेयर डिजाइन पूर्वample File विवरणहरू
File नामहरू | विवरणहरू |
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf | इंटेल क्वार्टस प्राइम परियोजना file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf | इंटेल क्वार्टस प्राइम प्रोजेक्ट सेटिङ file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Synopsys डिजाइन बाधाहरू files तपाईं यसलाई प्रतिलिपि र परिमार्जन गर्न सक्नुहुन्छ fileतपाईंको आफ्नै Intel Stratix 10 डिजाइनको लागि। |
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | शीर्ष-स्तर Verilog HDL डिजाइन पूर्वample file. |
<design_example_dir>/synthesis/testbench/ecpri_ed.sv | DUT रैपर जसले DUT र अन्य testbench कम्पोनेन्टहरू इन्स्ट्यान्टियट गर्छ। |
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl | मुख्य file प्रणाली कन्सोल पहुँच गर्नका लागि (Intel Stratix 10 H-tile र E-tile डिजाइनहरूमा उपलब्ध)। |
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl | मुख्य file प्रणाली कन्सोल पहुँच गर्नका लागि (Intel Arria 10 डिजाइनहरूमा उपलब्ध)। |
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | मुख्य file प्रणाली कन्सोल पहुँच गर्नका लागि (Intel Agilex 7 डिजाइनहरूमा उपलब्ध)। |
२.३ डिजाइनको अनुकरण गर्दै पूर्वampले टेस्टबेन्च
चित्र 4. प्रक्रिया
Testbench अनुकरण गर्न यी चरणहरू पालना गर्नुहोस्:
- आदेश प्रम्प्टमा, testbench सिमुलेशन डाइरेक्टरीमा परिवर्तन गर्नुहोस्ample_dir>/simulation/setup_scripts।
- Intel Agilex F-tile उपकरण भिन्नताहरूको लागि, यी चरणहरू पालना गर्नुहोस्:
a <design_ex मा नेभिगेट गर्नुहोस्ample_dir>/simulation/quartus डाइरेक्टरी र तल यी दुई आदेशहरू चलाउनुहोस्: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
वैकल्पिक रूपमा, तपाईंले Intel Quartus Prime Pro Edition मा ecpri_ed.qpf परियोजना खोल्न सक्नुहुन्छ र समर्थन तर्क जेनेरेसन नहुँदा सम्म संकलन गर्न सक्नुहुन्छ।tage.
b <design_ex मा नेभिगेट गर्नुहोस्ample_dir>/simulation/setup_scripts डाइरेक्टरी।
ग निम्न आदेश चलाउनुहोस्: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - आफ्नो रोजाइको समर्थित सिमुलेटरको लागि सिमुलेशन लिपि चलाउनुहोस्। लिपिले सिम्युलेटरमा टेस्टबेन्च कम्पाइल र चलाउँछ। टेष्टबेन्चको नक्कल गर्ने चरणहरू तालिकालाई सन्दर्भ गर्नुहोस्।
नोट: सिमुलेशनको लागि VHDL भाषा समर्थन QuestaSim र VCS MX सिमुलेटरहरूसँग मात्र उपलब्ध छ। सिमुलेशनको लागि Verilog भाषा समर्थन तालिकामा सूचीबद्ध सबै सिमुलेटरहरूको लागि उपलब्ध छ: Testbench सिमुलेट गर्ने चरणहरू। - परिणामहरू विश्लेषण गर्नुहोस्। सफल testbench ले प्याकेटहरू पठाउँछ र प्राप्त गर्दछ, र "PASSED" देखाउँछ।
तालिका ३. टेस्टबेन्च अनुकरण गर्ने चरणहरू
सिमुलेटर | निर्देशनहरू |
Questa सिम | कमाण्ड लाइनमा, टाइप गर्नुहोस् vsim -do run_vsim.do यदि तपाइँ QuestaSim GUI ल्याई बिना सिमुलेट गर्न चाहनुहुन्छ भने, टाइप गर्नुहोस् vsim -c -do run_vsim.do |
VCS | • कमाण्ड लाइनमा, टाइप गर्नुहोस् sh run_vcs.sh • <design_ex मा नेभिगेट गर्नुहोस्ample_dir>/simulation/setup_scripts/ synopsys/vcs र निम्न आदेश चलाउनुहोस्: sh run_vcs.sh |
VCS MX | आदेश लाइनमा, टाइप गर्नुहोस् sh run_vcsmx.sh |
रिभिएरा-प्रो | आदेश लाइनमा, टाइप गर्नुहोस् vsim -c -do run_rivierapro.tcl नोट: Intel Stratix 10 H-tile डिजाइन भिन्नताहरूमा मात्र समर्थित। |
एक्सेलियम(१) | आदेश लाइनमा, टाइप गर्नुहोस् sh run_xcelium.sh |
- यो सिम्युलेटर eCPRI Intel FPGA IP डिजाइन पूर्वको लागि समर्थित छैनampले IWF सुविधा सक्षम संग उत्पन्न।
Sampले आउटपुट: निम्न एसample आउटपुटले eCPRI IP डिजाइन पूर्वको सफल सिमुलेशन परीक्षण रनलाई चित्रण गर्दछampच्यानल संख्या = 4 संग सक्षम IWF सुविधा बिना le:
# RX पङ्क्तिबद्धताको लागि पर्खँदै
# RX डेस्क्यू लक भयो
# RX लेन पङ्क्तिबद्धता लक भयो
# लिङ्क त्रुटि स्पष्ट लागि पर्खँदै
# लिङ्क त्रुटि स्पष्ट
# MAC स्रोत ठेगाना 0_0 च्यानल 0: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 0: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 0: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 0: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 0: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 0: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 0: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 0: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 0: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 0: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 0: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 0: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 0: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 0: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 0: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 0: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 0: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 0: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 0: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 0: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 0: 2
# MAC स्रोत ठेगाना 0_0 च्यानल 1: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 1: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 1: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 1: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 1: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 1: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 1: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 1: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 1: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 1: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 1: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 1: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 1: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 1: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 1: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 1: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 1: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 1: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 1: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 1: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 1: 2
# MAC स्रोत ठेगाना 0_0 च्यानल 2: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 2: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 2: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 2: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 2: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 2: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 2: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 2: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 2: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 2: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 2: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 2: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 2: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 2: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 2: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 2: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 2: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 2: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 2: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 2: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 2: 2
# MAC स्रोत ठेगाना 0_0 च्यानल 3: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 3: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 3: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 3: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 3: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 3: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 3: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 3: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 3: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 3: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 3: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 3: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 3: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 3: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 3: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 3: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 3: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 3: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 3: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 3: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 3: 2
# ________________________________________________________
# INFO: रिसेट स्थिति बाहिर
# ________________________________________________________
#
#
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 0
# च्यानल ० बाह्य MISC TX EOPs गणना: 0
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 1
# च्यानल ० बाह्य MISC TX EOPs गणना: 1
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 2
# च्यानल ० बाह्य MISC TX EOPs गणना: 2
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 3
# च्यानल ० बाह्य MISC TX EOPs गणना: 3
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# ________________________________________________________
# INFO: प्याकेटहरू पठाउन सुरु गर्नुहोस्
# ________________________________________________________
#
#
# INFO: च्यानल 0 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 0 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 0 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 0 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 0 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 0 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 1 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 1 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 1 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 1 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 1 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 1 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 2 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 2 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 2 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 2 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 2 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 2 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 3 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 3 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 3 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 3 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 3 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 3 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# ________________________________________________________
# INFO: प्याकेटहरू प्रसारण गर्न रोक्नुहोस्
# ________________________________________________________
#
#
# ________________________________________________________
# INFO: प्याकेट तथ्याङ्कहरू जाँच गर्दै
# ________________________________________________________
#
#
# च्यानल ० eCPRI SOPs प्रसारित: 0
# च्यानल ० eCPRI EOPs प्रसारित: 0
# च्यानल ० eCPRI SOPs प्राप्त भयो: 0
# च्यानल ० eCPRI EOPs प्राप्त भयो: 0
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 0
# च्यानल ० बाह्य PTP EOPs प्रसारित: 0
# च्यानल 0 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 0 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 0 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 0 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० eCPRI SOPs प्रसारित: 1
# च्यानल ० eCPRI EOPs प्रसारित: 1
# च्यानल ० eCPRI SOPs प्राप्त भयो: 1
# च्यानल ० eCPRI EOPs प्राप्त भयो: 1
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 1
# च्यानल ० बाह्य PTP EOPs प्रसारित: 1
# च्यानल 1 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 1 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 1 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 1 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० eCPRI SOPs प्रसारित: 2
# च्यानल ० eCPRI EOPs प्रसारित: 2
# च्यानल ० eCPRI SOPs प्राप्त भयो: 2
# च्यानल ० eCPRI EOPs प्राप्त भयो: 2
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 2
# च्यानल ० बाह्य PTP EOPs प्रसारित: 2
# च्यानल 2 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 2 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 2 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 2 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० eCPRI SOPs प्रसारित: 3
# च्यानल ० eCPRI EOPs प्रसारित: 3
# च्यानल ० eCPRI SOPs प्राप्त भयो: 3
# च्यानल ० eCPRI EOPs प्राप्त भयो: 3
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 3
# च्यानल ० बाह्य PTP EOPs प्रसारित: 3
# च्यानल 3 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 3 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 3 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 3 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# ________________________________________________________
# जानकारी: परीक्षा उत्तीर्ण
#
# ________________________________________________________
Sampले आउटपुट: निम्न एसample आउटपुटले eCPRI IP डिजाइन पूर्वको सफल सिमुलेशन परीक्षण रनलाई चित्रण गर्दछampच्यानल संख्या = 4 संग सक्षम IWF सुविधा संग le:
# CPRI TX सक्षम गर्नुहोस्
# CPRI च्यानल 0 L1_CONFIG : 00000001
# CPRI च्यानल 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI च्यानल 1 L1_CONFIG : 00000001
# CPRI च्यानल 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI च्यानल 2 L1_CONFIG : 00000001
# CPRI च्यानल 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI च्यानल 3 L1_CONFIG : 00000001
# CPRI च्यानल 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX पङ्क्तिबद्धताको लागि पर्खँदै
# RX डेस्क्यू लक भयो
# RX लेन पङ्क्तिबद्धता लक भयो
# लिङ्क त्रुटि स्पष्ट लागि पर्खँदै
# लिङ्क त्रुटि स्पष्ट
# MAC स्रोत ठेगाना 0_0 च्यानल 0: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 0: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 0: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 0: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 0: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 0: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 0: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 0: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 0: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 0: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 0: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 0: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 0: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 0: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 0: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 0: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 0: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 0: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 0: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 0: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 0: 2
# MAC स्रोत ठेगाना 0_0 च्यानल 1: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 1: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 1: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 1: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 1: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 1: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 1: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 1: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 1: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 1: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 1: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 1: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 1: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 1: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 1: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 1: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 1: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 1: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 1: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 1: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 1: 2
# MAC स्रोत ठेगाना 0_0 च्यानल 2: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 2: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 2: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 2: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 2: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 2: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 2: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 2: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 2: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 2: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 2: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 2: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 2: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 2: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 2: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 2: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 2: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 2: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 2: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 2: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 2: 2
# MAC स्रोत ठेगाना 0_0 च्यानल 3: 33445566
# MAC स्रोत ठेगाना 0_1 च्यानल 3: 00007788
# MAC गन्तव्य ठेगाना 0_0 च्यानल 3: 33445566
# MAC गन्तव्य ठेगाना 0_1 च्यानल 3: 00007788
# MAC गन्तव्य ठेगाना 1_0 च्यानल 3: 11223344
# MAC गन्तव्य ठेगाना 1_1 च्यानल 3: 00005566
# MAC गन्तव्य ठेगाना 2_0 च्यानल 3: 22334455
# MAC गन्तव्य ठेगाना 2_1 च्यानल 3: 00006677
# MAC गन्तव्य ठेगाना 3_0 च्यानल 3: 44556677
# MAC गन्तव्य ठेगाना 3_1 च्यानल 3: 00008899
# MAC गन्तव्य ठेगाना 4_0 च्यानल 3: 66778899
# MAC गन्तव्य ठेगाना 4_1 च्यानल 3: 0000aabb
# MAC गन्तव्य ठेगाना 5_0 च्यानल 3: 778899aa
# MAC गन्तव्य ठेगाना 5_1 च्यानल 3: 0000bbcc
# MAC गन्तव्य ठेगाना 6_0 च्यानल 3: 8899aabb
# MAC गन्तव्य ठेगाना 6_1 च्यानल 3: 0000ccdd
# MAC गन्तव्य ठेगाना 7_0 च्यानल 3: 99aabbcc
# MAC गन्तव्य ठेगाना 7_1 च्यानल 3: 0000ddee
# eCPRI साझा नियन्त्रण च्यानल 3: 00000041
# अवरोध eCPRI साझा नियन्त्रण च्यानल 3: 00000241 सक्षम गर्नुहोस्
# eCPRI संस्करण च्यानल 3: 2
# CPRI को लागि प्रतिक्षा गर्दै HSYNC लिङ्क अप राज्य प्राप्त
# CPRI च्यानल 0 HSYNC राज्य प्राप्त भयो
# CPRI च्यानल 1 HSYNC राज्य प्राप्त भयो
# CPRI च्यानल 2 HSYNC राज्य प्राप्त भयो
# CPRI च्यानल 3 HSYNC राज्य प्राप्त भयो
# 11100250000 nego_bitrate_complete मा १ लेख्नुहोस्
# 11100650000 मतदान PROT_VER च्यानल 0
# ________________________________________________________
#11100850000 मतदान दर्ता: a0000010
# ________________________________________________________
# 13105050000 मतदान PROT_VER च्यानल 1
# ________________________________________________________
#13105250000 मतदान दर्ता: a0800010
# ________________________________________________________
# 13105950000 मतदान PROT_VER च्यानल 2
# ________________________________________________________
#13106150000 मतदान दर्ता: a1000010
# ________________________________________________________
# 13106850000 मतदान PROT_VER च्यानल 3
# ________________________________________________________
#13107050000 मतदान दर्ता: a1800010
# ________________________________________________________
# 13107750000 nego_protol_complete मा १ लेख्नुहोस्
# 13108150000 मतदान CM_STATUS.rx_fast_cm_ptr_valid च्यानल 0
# ________________________________________________________
#13108350000 मतदान दर्ता: a0000020
# ________________________________________________________
# 14272050000 मतदान CM_STATUS.rx_fast_cm_ptr_valid च्यानल 1
# ________________________________________________________
#14272250000 मतदान दर्ता: a0800020
# ________________________________________________________
# 14272950000 मतदान CM_STATUS.rx_fast_cm_ptr_valid च्यानल 2
# ________________________________________________________
#14273150000 मतदान दर्ता: a1000020
# ________________________________________________________
# 14273850000 मतदान CM_STATUS.rx_fast_cm_ptr_valid च्यानल 3
# ________________________________________________________
#14274050000 मतदान दर्ता: a1800020
# ________________________________________________________
# 14274750000 nego_cm_complete मा 1 लेख्नुहोस्
# 14275150000 nego_vss_complete मा १ लेख्नुहोस्
# CPRI च्यानल 0 को लागि प्रतिक्षा गर्दै HSYNC र स्टार्टअप अनुक्रम FSM STATE_F प्राप्त गर्नुहोस्
# CPRI च्यानल 0 HSYNC र स्टार्टअप अनुक्रम FSM STATE_F हासिल भयो
# CPRI च्यानल 1 को लागि प्रतिक्षा गर्दै HSYNC र स्टार्टअप अनुक्रम FSM STATE_F प्राप्त गर्नुहोस्
# CPRI च्यानल 1 HSYNC र स्टार्टअप अनुक्रम FSM STATE_F हासिल भयो
# CPRI च्यानल 2 को लागि प्रतिक्षा गर्दै HSYNC र स्टार्टअप अनुक्रम FSM STATE_F प्राप्त गर्नुहोस्
# CPRI च्यानल 2 HSYNC र स्टार्टअप अनुक्रम FSM STATE_F हासिल भयो
# CPRI च्यानल 3 को लागि प्रतिक्षा गर्दै HSYNC र स्टार्टअप अनुक्रम FSM STATE_F प्राप्त गर्नुहोस्
# CPRI च्यानल 3 HSYNC र स्टार्टअप अनुक्रम FSM STATE_F हासिल भयो
# ________________________________________________________
# INFO: रिसेट स्थिति बाहिर
# ________________________________________________________
#
#
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 0
# च्यानल ० बाह्य MISC TX EOPs गणना: 0
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 1
# च्यानल ० बाह्य MISC TX EOPs गणना: 1
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 2
# च्यानल ० बाह्य MISC TX EOPs गणना: 2
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# च्यानल ० eCPRI TX SOPs गणना: ०
# च्यानल ० eCPRI TX EOPs गणना: ०
# च्यानल ० eCPRI RX SOPs गणना: ०
# च्यानल ० eCPRI RX EOPs गणना: ०
# च्यानल ० बाह्य PTP TX SOPs गणना: ०
# च्यानल ० बाह्य PTP TX EOPs गणना: ०
# च्यानल ० बाह्य MISC TX SOPs गणना: 3
# च्यानल ० बाह्य MISC TX EOPs गणना: 3
# च्यानल ० बाह्य RX SOPs गणना: ०
# च्यानल ० बाह्य RX EOPs गणना: ०
# ________________________________________________________
# INFO: प्याकेटहरू पठाउन सुरु गर्नुहोस्
# ________________________________________________________
#
#
# INFO: च्यानल 0 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 0 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 0 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 0 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 0 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 0 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 1 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 1 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 1 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 1 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 1 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 1 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 2 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 2 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 2 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 2 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 2 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 2 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 3 eCPRI TX ट्राफिक स्थानान्तरण पूरा हुनको लागि पर्खँदै
# INFO: च्यानल 3 eCPRI TX ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 3 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 3 eCPRI बाह्य TX PTP ट्राफिक स्थानान्तरण पूरा भयो
# INFO: च्यानल 3 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरणको लागि प्रतिक्षा गर्दै
पूरा
# INFO: च्यानल 3 eCPRI बाह्य TX विविध ट्राफिक स्थानान्तरण पूरा भयो
# ________________________________________________________
# INFO: प्याकेटहरू प्रसारण गर्न रोक्नुहोस्
# ________________________________________________________
#
#
# ________________________________________________________
# INFO: प्याकेट तथ्याङ्कहरू जाँच गर्दै
# ________________________________________________________
#
#
# च्यानल ० eCPRI SOPs प्रसारित: 0
# च्यानल ० eCPRI EOPs प्रसारित: 0
# च्यानल ० eCPRI SOPs प्राप्त भयो: 0
# च्यानल ० eCPRI EOPs प्राप्त भयो: 0
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 0
# च्यानल ० बाह्य PTP EOPs प्रसारित: 0
# च्यानल 0 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 0 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 0 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 0 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० eCPRI SOPs प्रसारित: 1
# च्यानल ० eCPRI EOPs प्रसारित: 1
# च्यानल ० eCPRI SOPs प्राप्त भयो: 1
# च्यानल ० eCPRI EOPs प्राप्त भयो: 1
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 1
# च्यानल ० बाह्य PTP EOPs प्रसारित: 1
# च्यानल 1 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 1 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 1 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 1 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० eCPRI SOPs प्रसारित: 2
# च्यानल ० eCPRI EOPs प्रसारित: 2
# च्यानल ० eCPRI SOPs प्राप्त भयो: 2
# च्यानल ० eCPRI EOPs प्राप्त भयो: 2
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 2
# च्यानल ० बाह्य PTP EOPs प्रसारित: 2
# च्यानल 2 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 2 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 2 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 2 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० eCPRI SOPs प्रसारित: 3
# च्यानल ० eCPRI EOPs प्रसारित: 3
# च्यानल ० eCPRI SOPs प्राप्त भयो: 3
# च्यानल ० eCPRI EOPs प्राप्त भयो: 3
# च्यानल ० eCPRI त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य PTP SOP प्रसारित: 3
# च्यानल ० बाह्य PTP EOPs प्रसारित: 3
# च्यानल 3 बाह्य MISC SOPs प्रसारित: 128
# च्यानल 3 बाह्य MISC EOPs प्रसारित: 128
# च्यानल ० बाह्य SOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य EOPs प्राप्त भयो: १३२
# च्यानल ० बाह्य PTP SOPs प्राप्त भयो: ४
# च्यानल ० बाह्य PTP EOPs प्राप्त भयो: ४
# च्यानल 3 बाह्य MISC SOPs प्राप्त भयो: 128
# च्यानल 3 बाह्य MISC EOPs प्राप्त भयो: 128
# च्यानल ० बाह्य त्रुटि रिपोर्ट गरियो: ०
# च्यानल ० बाह्य टाइमस्टamp फिंगरप्रिन्ट त्रुटि रिपोर्ट गरियो: ०
# ________________________________________________________
# जानकारी: परीक्षा उत्तीर्ण
#
# ________________________________________________________
१.४.१। इथरनेट आईपीमा गतिशील पुन: कन्फिगरेसन सक्षम गर्दै
पूर्वनिर्धारित रूपमा, eCPRI IP डिजाइन पूर्वमा गतिशील पुन: कन्फिगरेसन असक्षम गरिएको छample र यो केवल Intel Stratix 10 (E-tile र H-tile) र Intel Agilex 7 (E-tile) डिजाइनमा मात्र लागू हुन्छ।ampलेस।
- उत्पन्न गरिएको <design_ex बाट test_wrapper.sv मा निम्न लाइन खोज्नुहोस्ample_dir>/simulation/testbench निर्देशिका: प्यारामिटर ETHERNET_DR_EN = 0
- मान ० देखि १ मा परिवर्तन गर्नुहोस्: प्यारामिटर ETHERNET_DR_EN = 0
- उही उत्पन्न पूर्व प्रयोग गरेर सिमुलेशन पुन: चलाउनुहोस्ampले डिजाइन निर्देशिका।
१.५ संकलन-मात्र परियोजना कम्पाइल गर्दै
संकलन-मात्र संकलन गर्न पूर्वampले परियोजना, यी चरणहरू पालना गर्नुहोस्:
- संकलन डिजाइन पूर्व सुनिश्चित गर्नुहोस्ampपुस्ता पूरा भयो।
- Intel Quartus Prime Pro Edition सफ्टवेयरमा, Intel Quartus Prime Pro Edition प्रोजेक्ट खोल्नुहोस्ample_dir>/synthesis/quartus/ ecpri_ed.qpf।
- प्रशोधन मेनुमा, संकलन सुरु गर्नुहोस् क्लिक गर्नुहोस्।
- सफल संकलन पछि, समय र स्रोत उपयोगको लागि रिपोर्टहरू तपाईंको Intel Quartus प्राइम प्रो संस्करण सत्रमा उपलब्ध छन्। प्रशोधन ➤ संकलन रिपोर्ट मा जानुहोस् view संकलन मा विस्तृत रिपोर्ट।
सम्बन्धित जानकारी
ब्लक-आधारित डिजाइन प्रवाह
१.५ डिजाइन कम्पाइल र कन्फिगर गर्दै पूर्वample हार्डवेयर मा
हार्डवेयर डिजाइन कम्पाइल गर्न पूर्वample र यसलाई आफ्नो Intel उपकरणमा कन्फिगर गर्नुहोस्, यी चरणहरू पालना गर्नुहोस्:
- हार्डवेयर डिजाइन पूर्व सुनिश्चित गर्नुहोस्ampपुस्ता पूरा भयो।
- Intel Quartus Prime Pro Edition सफ्टवेयरमा, Intel Quartus Prime परियोजना खोल्नुहोस्ample_dir>/synthesis/quartus/ecpri_ed.qpf।
- प्रशोधन मेनुमा, संकलन सुरु गर्नुहोस् क्लिक गर्नुहोस्।
- सफल संकलन पछि, एक .sof file मा उपलब्ध छample_dir>/ synthesis/quartus/output_files निर्देशिका। हार्डवेयर डिजाइन पूर्व प्रोग्राम गर्न यी चरणहरू पालना गर्नुहोस्ampLe Intel FPGA उपकरणमा:
a विकास किटलाई होस्ट कम्प्युटरमा जडान गर्नुहोस्।
b घडी नियन्त्रण अनुप्रयोग सुरू गर्नुहोस्, जुन विकास किटको अंश हो, र डिजाइन पूर्वको लागि नयाँ फ्रिक्वेन्सीहरू सेट गर्नुहोस्।ample। तल घडी नियन्त्रण अनुप्रयोगमा आवृत्ति सेटिङ छ:
• यदि तपाईंले Intel Stratix 10 GX SI विकास किटमा आफ्नो डिजाइनलाई लक्षित गर्दै हुनुहुन्छ भने:
— U5, OUT8- 100 MHz
— U6, OUT3- 322.265625 MHz
— U6, OUT4 र OUT5- 307.2 MHz
• यदि तपाईंले Intel Stratix 10 TX SI विकास किटमा आफ्नो डिजाइनलाई लक्षित गर्दै हुनुहुन्छ भने:
— U1, CLK4- 322.265625 MHz (25G डाटा दरको लागि)
— U6- 156.25 MHz (10G डाटा दरको लागि)
— U3, OUT3- 100 MHz
— U3, OUT8- 153.6 MHz
• यदि तपाईंले Intel Agilex 7 F-Series Transceiver-SoC विकास किटमा आफ्नो डिजाइनलाई लक्षित गर्दै हुनुहुन्छ भने:
— U37, CLK1A- 100 MHz
— U34, CLK0P- 156.25 MHz
— U38, OUT2_P- 153.6 MHz
• यदि तपाईंले Intel Arria 10 GX SI विकास किटमा आफ्नो डिजाइनलाई लक्षित गर्दै हुनुहुन्छ भने:
— U52, CLK0- 156.25 MHz
— U52, CLK1- 250 MHz
— U52, CLK3- 125 MHz
— Y5- 307.2 MHz
— Y6- 322.265625 MHz
ग उपकरण मेनुमा, प्रोग्रामर क्लिक गर्नुहोस्।
d प्रोग्रामरमा, हार्डवेयर सेटअपमा क्लिक गर्नुहोस्।
e प्रोग्रामिङ उपकरण चयन गर्नुहोस्।
f तपाईंको Intel Quartus Prime Pro Edition सत्र जडान गर्न सक्ने विकास किट चयन गर्नुहोस् र थप्नुहोस्।
g निश्चित गर्नुहोस् कि मोड J मा सेट गरिएको छTAG.
h। यन्त्र चयन गर्नुहोस् र यन्त्र थप्नुहोस् क्लिक गर्नुहोस्। प्रोग्रामरले तपाइँको बोर्डमा यन्त्रहरू बीचको जडानहरूको ब्लक रेखाचित्र देखाउँछ।
i .sof लोड गर्नुहोस् file तपाईंको सम्बन्धित Intel FPGA उपकरणमा।
j कार्यान्वयनयोग्य र लिङ्किङ ढाँचा लोड गर्नुहोस् (.elf) file तपाईंको Intel Stratix 10 वा
यदि तपाइँ 7G र 25G बीचको डाटा दर स्विच गर्न डायनामिक पुन: कन्फिगरेसन (DR) प्रदर्शन गर्ने योजना बनाउनुहुन्छ भने Intel Agilex 10 उपकरण। कार्यान्वयनयोग्य र लिङ्किङ ढाँचा (.elf) प्रोग्रामिङ उत्पादन र डाउनलोड गर्ने निर्देशनहरू पालना गर्नुहोस्। File पृष्ठ 38 मा .elf उत्पन्न गर्न file.
k। तपाईंको .sof सँग पङ्क्तिमा, .sof को लागि कार्यक्रम/कन्फिगर बाकस जाँच गर्नुहोस्। file.
l सुरु क्लिक गर्नुहोस्।
सम्बन्धित जानकारी
- ब्लक-आधारित डिजाइन
- इंटेल क्वार्टस प्राइम प्रोग्रामर प्रयोगकर्ता गाइड
- प्रणाली कन्सोलको साथ डिजाइनहरू विश्लेषण र डिबग गर्दै
- Intel Agilex 7 F-Series Transceiver-SoC विकास किट प्रयोगकर्ता गाइड
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit User Guide
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit User Guide
१.७। eCPRI Intel FPGA IP डिजाइन Ex परीक्षण गर्दैample
तपाईंले eCPRI Intel FPGA IP कोर डिजाइन पूर्व कम्पाइल गरेपछिample र यसलाई आफ्नो Intel FPGA उपकरणमा कन्फिगर गर्नुहोस्, तपाइँ IP कोर र यसको एम्बेडेड नेटिभ PHY IP कोर रेजिस्टरहरू प्रोग्राम गर्न प्रणाली कन्सोल प्रयोग गर्न सक्नुहुन्छ।
प्रणाली कन्सोल खोल्न र हार्डवेयर डिजाइन पूर्व परीक्षण गर्नample, यी चरणहरू पालना गर्नुहोस्:
- हार्डवेयर डिजाइन पछि पूर्वample इन्टेल उपकरणमा कन्फिगर गरिएको छ, Intel Quartus Prime Pro Edition सफ्टवेयरमा, Tools मेनुमा, System Debugging Tools ➤ System Console मा क्लिक गर्नुहोस्।
- Tcl कन्सोल फलकमा, डाइरेक्टरीलाई <design_ex मा परिवर्तन गर्नुहोस्ample_dir>/ synthesis/quartus/hardware_test र J मा जडान खोल्न निम्न आदेश टाइप गर्नुहोस्TAG मास्टर र परीक्षण सुरु गर्नुहोस्:
• Intel Agilex 7 डिजाइनहरूको लागि स्रोत ecpri_agilex.tcl
• Intel Stratix 10 डिजाइनहरूको लागि स्रोत ecpri_s10.tcl
• स्रोत ecpri_a10.tcl Intel Arria 10 डिजाइनहरूको लागि - तपाईंको Intel Stratix 10 वा Intel Agilex 7 E-tile उपकरण भिन्नताहरूको लागि, तपाईंले .sof कार्यक्रम गरेपछि एक पटक आन्तरिक वा बाह्य लुपब्याक आदेश प्रदर्शन गर्नुपर्छ। file:
a flow.c मा TEST_MODE चर परिमार्जन गर्नुहोस् file लुपब्याक मोड चयन गर्न:TEST_MODE कार्य 0 सिमुलेशनका लागि मात्र सिरियल लुपब्याक सक्षम गर्नुहोस् 1 सिरियल लुपब्याक हार्डवेयरको लागि मात्र सक्षम गर्नुहोस् 2 सिरियल लुपब्याक र क्यालिब्रेसन 3 क्यालिब्रेसन मात्र तपाईंले flow.c परिवर्तन गर्दा NIOS II सफ्टवेयर पुन: कम्पाइल र पुन: उत्पन्न गर्नुपर्छ file.
b .elf लाई पुन: उत्पन्न गर्नुहोस् file र बोर्डमा एक पटक कार्यक्रम गर्नुहोस् र .sof लाई पुन: प्रोग्राम गर्नुहोस् file. - प्रणाली कन्सोल स्क्रिप्टमा समर्थित आदेशहरू मार्फत डिजाइन सञ्चालन परीक्षण गर्नुहोस्। प्रणाली कन्सोल स्क्रिप्टले डिजाइनमा सक्षम हुने तथ्याङ्कहरू र सुविधाहरू पढ्नका लागि उपयोगी आदेशहरू प्रदान गर्दछ।
तालिका 4. प्रणाली कन्सोल लिपि आदेशहरू
आदेश | विवरण |
loop_on | TX देखि RX आन्तरिक सिरियल लुपब्याक सक्षम गर्दछ। Intel Stratix 10 H-tile र Intel Arria 10 यन्त्रहरूको लागि मात्र प्रयोग गर्नुहोस्। |
loop_off | TX देखि RX आन्तरिक सिरियल लुपब्याक असक्षम गर्दछ। Intel Stratix 10 H-tile र Intel Arria 10 यन्त्रहरूको लागि मात्र प्रयोग गर्नुहोस्। |
लिङ्क _ init _ int _1pbk | ट्रान्सीभर भित्र TX देखि RX आन्तरिक सिरियल लूपब्याक सक्षम गर्दछ र ट्रान्सीभर क्यालिब्रेसन प्रवाह प्रदर्शन गर्दछ। Intel Stratix 10 E-tile र Intel Agilex 7 E-tile डिजाइनहरूमा मात्र लागू हुन्छ। |
लिङ्क _ init _ ext _1pbk | TX देखि RX बाह्य लूपब्याक सक्षम गर्दछ र ट्रान्सीभर क्यालिब्रेसन प्रवाह प्रदर्शन गर्दछ। Intel Stratix 10 E-tile र Intel Agilex 7 E-tile डिजाइनहरूमा मात्र लागू हुन्छ। |
ट्राफिक जेन असक्षम | ट्राफिक जनरेटर र परीक्षक असक्षम पार्छ। |
chkmac तथ्याङ्क | इथरनेट MAC को लागि तथ्याङ्कहरू प्रदर्शन गर्दछ। |
पढ्नुहोस्_ परीक्षण_ तथ्याङ्कहरू | ट्राफिक जनरेटर र जाँचकर्ताहरूको लागि त्रुटि तथ्याङ्कहरू प्रदर्शन गर्नुहोस्। |
ext _ निरन्तर _ मोड _en | सम्पूर्ण डिजाइन प्रणाली रिसेट गर्दछ, र ट्राफिक जेनरेटरलाई निरन्तर ट्राफिक प्याकेटहरू उत्पन्न गर्न सक्षम बनाउँछ। |
dr _ 25g _ to _ log _etile | इथरनेट MAC को डाटा दर 25G बाट 10G मा स्विच गर्दछ। Intel Stratix 10 E-tile र Intel Agilex 7 E-tile उपकरणहरूको लागि मात्र प्रयोग गर्नुहोस्। |
dr_25g_to_10g_htile | इथरनेट MAC को डाटा दर 25G बाट 10G मा स्विच गर्दछ। H-टाइल उपकरणहरूको लागि मात्र प्रयोग गर्नुहोस् |
dr_10g_to_25g_etile | इथरनेट MAC को डाटा दर 10G बाट 25G मा स्विच गर्दछ। Intel Stratix 10 E-tile र Intel Agilex 7 E-tile उपकरणहरूको लागि मात्र प्रयोग गर्नुहोस्। |
dr _ 25g _ to _ log _htile | इथरनेट MAC को डाटा दर 10G बाट 25G मा स्विच गर्दछ। H-टाइल उपकरणहरूको लागि मात्र प्रयोग गर्नुहोस्। |
निम्न एसample आउटपुटले सफल परीक्षण रनलाई चित्रण गर्दछ:
प्रणाली कन्सोल प्रिन्टआउट (च्यानलहरूको संख्या = 1)
च्यानल 0 EXT PTP TX SOP काउन्ट: 256
च्यानल 0 EXT PTP TX EOP काउन्ट: 256
च्यानल 0 EXT MISC TX SOP काउन्ट: 36328972
च्यानल 0 EXT MISC TX EOP काउन्ट: 36369511
च्यानल 0 EXT RX SOP काउन्ट: 36410364
च्यानल 0 EXT RX EOP काउन्ट: 36449971
च्यानल ० EXT परीक्षक त्रुटिहरू: ०
च्यानल ० EXT परीक्षक त्रुटि गणनाहरू: ०
च्यानल 0 EXT PTP फिंगरप्रिन्ट त्रुटिहरू: 0
च्यानल 0 EXT PTP फिंगरप्रिन्ट त्रुटि गणना: 0
च्यानल 0 TX SOP काउन्ट: 1337760
च्यानल 0 TX EOP काउन्ट: 1339229
च्यानल 0 RX SOP काउन्ट: 1340728
च्यानल 0 RX EOP काउन्ट: 1342555
च्यानल ० परीक्षक त्रुटिहरू: ०
च्यानल ० परीक्षक त्रुटि गणनाहरू: ०
============================================= ==========================
=============
च्यानल 0 (Rx) को लागि इथरनेट म्याक तथ्याङ्क
============================================= ==========================
=============
खण्डित फ्रेमहरू: ०
जब्बर्ड फ्रेमहरू: ०
FCS त्रुटि फ्रेमहरूसँग दायाँ आकार: 0
मल्टिकास्ट डाटा त्रुटि फ्रेमहरू: ०
प्रसारण डाटा त्रुटि फ्रेमहरू: 0
युनिकास्ट डाटा त्रुटि फ्रेमहरू: ०
६४ बाइट फ्रेम्स : ३६४१३४२
65 - 127 बाइट फ्रेमहरू: 0
128 - 255 बाइट फ्रेमहरू: 37404809
256 - 511 बाइट फ्रेमहरू: 29128650
512 - 1023 बाइट फ्रेमहरू: 0
1024 - 1518 बाइट फ्रेमहरू: 0
1519 - MAX बाइट फ्रेमहरू: 0
> MAX बाइट फ्रेमहरू: 0
मल्टिकास्ट डाटा ओके फ्रेम: 70174801
प्रसारण डाटा ठीक फ्रेम: 0
युनिकास्ट डाटा ओके फ्रेम्स: ०
मल्टिकास्ट कन्ट्रोल फ्रेम्स: ०
प्रसारण नियन्त्रण फ्रेमहरू: 0
युनिकास्ट नियन्त्रण फ्रेम्स: ०
नियन्त्रण फ्रेमहरू रोक्नुहोस्: 0
Payload Octets OK: 11505935812
फ्रेम अक्टेट्स ठीक छ: १२९१८७०१४४४
Rx अधिकतम फ्रेम लम्बाइ: 1518
FCS त्रुटि फ्रेम संग कुनै पनि आकार: 0
मल्टिकास्ट नियन्त्रण त्रुटि फ्रेम: ०
प्रसारण नियन्त्रण त्रुटि फ्रेम: 0
युनिकास्ट नियन्त्रण त्रुटि फ्रेमहरू: ०
पज नियन्त्रण त्रुटि फ्रेमहरू: ०
Rx फ्रेम सुरु हुन्छ: 70174801
निम्न एसamp25G देखि 10G DR परीक्षण रनको लागि le आउटपुट:
प्रणाली कन्सोल प्रिन्टआउट (25G देखि 10G DR ई-टाइल)
इथरनेट 25G -> 10G को लागि गतिशील पुन: कन्फिगरेसन प्रारम्भ गर्नुहोस्
DR सफल 25G -> 10G
RX PHY दर्ता पहुँच: घडी फ्रिक्वेन्सीहरू (KHz) जाँच गर्दै
TXCLK : 16114 (KHZ)
RXCLK : 16113 (KHZ)
RX PHY स्थिति मतदान
Rx फ्रिक्वेन्सी लक स्थिति 0x0000000f
म्याक घडी ठीक अवस्थामा छ? 0x00000001
Rx फ्रेम त्रुटि? 0x00000000
Rx PHY पूर्ण रूपमा पङ्क्तिबद्ध? 0x00000001
मतदान RX PHY च्यानल 0
RX PHY च्यानल 0 तयार छ र चलिरहेको छ!
प्रणाली कन्सोल प्रिन्टआउट (25G देखि 10G DR H-टाइल)
इथरनेट 25G -> 10G को लागि गतिशील पुन: कन्फिगरेसन प्रारम्भ गर्नुहोस्
DR सफल 25G -> 10G
RX PHY दर्ता पहुँच: घडी फ्रिक्वेन्सीहरू (KHz) जाँच गर्दै
TXCLK : 15625 (KHZ)
RXCLK : 15625 (KHZ)
RX PHY स्थिति मतदान
Rx फ्रिक्वेन्सी लक स्थिति 0x00000001
म्याक घडी ठीक अवस्थामा छ? 0x00000007
Rx फ्रेम त्रुटि? 0x00000000
Rx PHY पूर्ण रूपमा पङ्क्तिबद्ध? 0x00000001
मतदान RX PHY च्यानल 0
RX PHY च्यानल 0 तयार छ र चलिरहेको छ!
प्रणाली कन्सोल प्रिन्टआउट (10G देखि 25G DR ई-टाइल)
इथरनेट 10G -> 25G को लागि गतिशील पुन: कन्फिगरेसन प्रारम्भ गर्नुहोस्
DR सफल 10G -> 25G
RX PHY दर्ता पहुँच: घडी फ्रिक्वेन्सीहरू (KHz) जाँच गर्दै
TXCLK : 40283 (KHZ)
RXCLK : 40283 (KHZ)
RX PHY स्थिति मतदान
Rx फ्रिक्वेन्सी लक स्थिति 0x0000000f
म्याक घडी ठीक अवस्थामा छ? 0x00000001
Rx फ्रेम त्रुटि? 0x00000000
Rx PHY पूर्ण रूपमा पङ्क्तिबद्ध? 0x00000001
मतदान RX PHY च्यानल 0
RX PHY च्यानल 0 तयार छ र चलिरहेको छ!
प्रणाली कन्सोल प्रिन्टआउट (10G देखि 25G DR H-टाइल)
इथरनेट 10G -> 25G को लागि गतिशील पुन: कन्फिगरेसन प्रारम्भ गर्नुहोस्
DR सफल 10G -> 25G
RX PHY दर्ता पहुँच: घडी फ्रिक्वेन्सीहरू (KHz) जाँच गर्दै
TXCLK : 39061 (KHZ)
RXCLK : 39063 (KHZ)
RX PHY स्थिति मतदान
Rx फ्रिक्वेन्सी लक स्थिति 0x00000001
म्याक घडी ठीक अवस्थामा छ? 0x00000007
Rx फ्रेम त्रुटि? 0x00000000
Rx PHY पूर्ण रूपमा पङ्क्तिबद्ध? 0x00000001
मतदान RX PHY च्यानल 0
RX PHY च्यानल 0 तयार छ र चलिरहेको छ!
डिजाइन पूर्वampले विवरण
डिजाइन पूर्वample ले eCPRI IP कोरको आधारभूत कार्यक्षमता देखाउँछ। तपाइँ पूर्व बाट डिजाइन उत्पन्न गर्न सक्नुहुन्छampeCPRI आईपी प्यारामिटर सम्पादकमा ले डिजाइन ट्याब।
2.1. सुविधाहरू
- आन्तरिक TX र RX सिरियल लुपब्याक मोड
- स्वचालित रूपमा निश्चित आकार प्याकेटहरू उत्पन्न गर्दछ
- आधारभूत प्याकेट जाँच क्षमताहरू
- डिजाइन परीक्षण गर्न र पुन: परीक्षण उद्देश्यको लागि डिजाइन रिसेट गर्न प्रणाली कन्सोल प्रयोग गर्ने क्षमता
१.३। हार्डवेयर डिजाइन पूर्वample
चित्र 5. Intel Agilex 7 F-टाइल डिजाइनहरूको लागि ब्लक रेखाचित्र
इंटेल कर्पोरेशन। सबै अधिकार सुरक्षित। Intel, Intel लोगो, र अन्य Intel मार्कहरू Intel Corporation वा यसको सहायक कम्पनीहरूको ट्रेडमार्क हुन्। Intel ले आफ्नो FPGA र अर्धचालक उत्पादनहरूको प्रदर्शनलाई Intel को मानक वारेन्टी अनुसार हालको विशिष्टताहरूमा वारेन्टी दिन्छ, तर सूचना बिना कुनै पनि समयमा कुनै पनि उत्पादन र सेवाहरूमा परिवर्तन गर्ने अधिकार सुरक्षित गर्दछ। Intel ले यहाँ वर्णन गरिएको कुनै पनि जानकारी, उत्पादन, वा सेवाको आवेदन वा प्रयोगबाट उत्पन्न हुने कुनै जिम्मेवारी वा दायित्व ग्रहण गर्दैन बाहेक Intel द्वारा लिखित रूपमा स्पष्ट रूपमा सहमत भए। Intel ग्राहकहरूलाई कुनै पनि प्रकाशित जानकारीमा भर पर्नु अघि र उत्पादन वा सेवाहरूको लागि अर्डर राख्नु अघि उपकरण विशिष्टताहरूको नवीनतम संस्करण प्राप्त गर्न सल्लाह दिइन्छ। *अन्य नाम र ब्रान्डहरू अरूको सम्पत्तिको रूपमा दाबी गर्न सकिन्छ।
चित्र 6. Intel Agilex 7 ई-टाइल डिजाइनहरूको लागि ब्लक रेखाचित्रचित्र 7. Intel Stratix 10 डिजाइनहरूको लागि ब्लक रेखाचित्र
चित्र 8. Intel Arria 10 डिजाइनहरूको लागि ब्लक रेखाचित्रeCPRI Intel FPGA IP कोर हार्डवेयर डिजाइन पूर्वampले निम्न अवयवहरू समावेश गर्दछ:
eCPRI इंटेल FPGA आईपी
परीक्षण र्यापर भित्र इन्स्ट्यान्टियट गरिएका ट्राफिक जेनरेटरहरूबाट डाटा स्वीकार गर्दछ र इथरनेट आईपीमा प्रसारणको लागि डाटालाई प्राथमिकता दिन्छ।
इथरनेट आईपी
- एफ-टाइल इथरनेट इंटेल एफपीजीए हार्ड आईपी (इन्टेल एजिलेक्स 7 एफ-टाइल डिजाइनहरू)
- इथरनेटका लागि ई-टाइल हार्ड आईपी (इन्टेल स्ट्रेटिक्स १० वा इंटेल एजिलेक्स ७ ई-टाइल डिजाइनहरू)
- 25G इथरनेट Intel Stratix 10 IP (Intel Stratix 10 H-tile डिजाइनहरू)
- कम विलम्बता इथरनेट 10G MAC IP र 1G/10GbE र 10GBASE-KR PHY IP (Intel Arria 10 डिजाइनहरू)
प्रेसिजन टाइम प्रोटोकल (PTP) IO PLL
Intel Stratix 10 H-tile डिजाइनहरूका लागि — इथरनेट IP र s का लागि विलम्बता मापन इनपुट सन्दर्भ घडी उत्पन्न गर्न तत्कालampदिनको समय (TOD) उपप्रणालीको लागि ling घडी। IEEE 25v10 सुविधा भएको 1588G इथरनेट Intel Stratix 2 FPGA IP को लागि, Intel ले तपाईंलाई यो घडीको फ्रिक्वेन्सी 156.25 MHz मा सेट गर्न सिफारिस गर्छ। थप जानकारीको लागि 25G इथरनेट Intel Stratix 10 FPGA IP प्रयोगकर्ता गाइड र Intel Stratix 10 H-tile Transceiver PHY प्रयोगकर्ता गाइडलाई सन्दर्भ गर्नुहोस्। PTP IOPLL ले eCPRI IO PLL को लागि क्यास्केडिङ तरिकामा सन्दर्भ घडी पनि उत्पन्न गर्दछ।
Intel Arria 10 डिजाइनहरूका लागि — कम लेटन्सी इथरनेट 312.5G MAC IP र 156.25G/10GbE, 1GBASE-KR PHY IP, र eCPRI IP को लागि 10 MHz र 10 MHz घडी इनपुटहरू उत्पन्न गर्न इन्स्ट्यान्टिएट।
eCPRI IO PLL
eCPRI IP को TX र RX पथ, र ट्राफिक घटकहरूको लागि 390.625 MHz को कोर घडी उत्पादन उत्पन्न गर्दछ।
नोट: यो ब्लक डिजाइन पूर्व मा मात्र अवस्थित छampले Intel Stratix 10 र Intel Agilex 7 उपकरणहरूको लागि उत्पन्न गरिएको छ।
नोट: eCPRI Intel FPGA IP को हालको संस्करणले IWF प्रकार 0 लाई मात्र समर्थन गर्दछ। Intel Agilex 7 F-tile उपकरणहरूको लागि, डिजाइन पूर्वample सक्षम IWF सुविधा समर्थित छैन।
जब तपाइँ डिजाइन उत्पन्न गर्नुहुन्छ पूर्वampले इन्टरवर्किङ फंक्शन (IWF) सपोर्ट प्यारामिटर बन्द भएको छ, प्याकेट ट्राफिक सिधै टेस्ट र्यापर मोड्युलबाट Avalon-ST स्रोत/सिंक इन्टरफेस र eCPRI IP को बाह्य स्रोत/सिंक इन्टरफेसमा प्रवाह हुन्छ।
जब तपाइँ डिजाइन उत्पन्न गर्नुहुन्छ पूर्वampले इन्टरवर्किङ फंक्शन (IWF) समर्थन प्यारामिटर खोलिएको छ, प्याकेट ट्राफिक पहिले परीक्षण र्यापर मोड्युलबाट IWF Avalon-ST सिंक इन्टरफेसमा जान्छ, र IWF Avalon-ST स्रोत इन्टरफेसबाट eCPRI Avalon-ST स्रोत/सिंकमा निस्कन्छ। इन्टरफेस।
CPRI MAC
प्रयोगकर्ता प्लेन, C&M, र REC र RE बीचको साथै दुई RE बीचको सिङ्क्रोनाइजेसन जानकारीको स्थानान्तरणको लागि तह 1 को CPRI भाग र पूर्ण तह 2 प्रोटोकलहरू प्रदान गर्दछ,
CPRI PHY
लाइन कोडिङ, बिट त्रुटि सुधार/पत्ता लगाउने, र आदिका लागि CPRI लेयर 1 प्रोटोकलको बाँकी भाग प्रदान गर्दछ।
नोट: CPRI MAC र CPRI PHY IP यस डिजाइनमा स्थापित गरिएको छample एकल CPRI लाइन दर 9.8 Gbps मा मात्र चलाउन कन्फिगर गरिएको छ। डिजाइन पूर्वample ले हालको विमोचनमा लाइन दर स्वत: वार्तालाई समर्थन गर्दैन।
परीक्षण र्यापर
ट्राफिक जेनरेटरहरू र चेकर्सहरू समावेश हुन्छन् जसले तलको रूपमा eCPRI IP को Avalon Streaming (Avalon-ST) इन्टरफेसहरूमा डेटा प्याकेटहरूको विभिन्न सेटहरू उत्पन्न गर्दछ:
- Avalon-ST स्रोत/सिंक इन्टरफेसहरूमा eCPRI प्याकेटहरू (IWF सुविधा असक्षम गरिएको):
- केवल सन्देश प्रकार 2 समर्थन गर्दछ।
— ब्याक-टु-ब्याक मोड जेनरेशन वृद्धिशील ढाँचा मोड उत्पादन र प्रत्येक प्याकेटको लागि 72 बाइटको पेलोड आकार।
- या त गैर-निरन्तर वा निरन्तर मोडमा चलाउन CSR मार्फत कन्फिगर योग्य।
— TX/RX प्याकेट तथ्याङ्क स्थिति CSR मार्फत पहुँच गर्न उपलब्ध छ। - Avalon-ST स्रोत/सिंक इन्टरफेसहरूमा eCPRI प्याकेटहरू (IWF सुविधा सक्षम गरिएको):
- हालको रिलीजमा सन्देश प्रकार 0 मात्र समर्थन गर्दछ।
- प्रत्येक प्याकेटको लागि इन्टरप्याकेट ग्याप जेनरेशन र 240 बाइटको पेलोड साइजको साथ वृद्धिशील ढाँचा मोड जेनरेशन।
- या त गैर-निरन्तर वा निरन्तर मोडमा चलाउन CSR मार्फत कन्फिगर योग्य।
— TX/RX प्याकेट तथ्याङ्क स्थिति CSR मार्फत पहुँच गर्न उपलब्ध छ। - प्रेसिजन टाइम प्रोटोकल (1588 PTP) प्याकेट र गैर-PTP विविध प्याकेटहरू बाह्य स्रोत/सिंक इन्टरफेसहरूमा:
— पूर्व-परिभाषित प्यारामिटरहरूको साथ स्थिर इथरनेट हेडर जेनरेशन: Ethertype0x88F7, सन्देश प्रकार- Opcode 0 (सिंक), र PTP संस्करण-0।
— पूर्व-परिभाषित ढाँचा मोड उत्पादन 2 चक्रको इन्टरप्याकेट ग्याप र प्रत्येक प्याकेटको लागि 57 बाइटको पेलोड साइज।
- प्रत्येक एक सेकेन्डको अवधिमा 128 प्याकेटहरू उत्पन्न हुन्छन्।
- या त गैर-निरन्तर वा निरन्तर मोडमा चलाउन CSR मार्फत कन्फिगर योग्य।
— TX/RX प्याकेट तथ्याङ्क स्थिति CSR मार्फत पहुँच गर्न उपलब्ध छ। - बाह्य गैर-PTP विविध प्याकेटहरू:
- पूर्व-परिभाषित प्यारामिटर, Ethertype- 0x8100 (गैर-PTP) संग स्थिर इथरनेट हेडर जेनेरेसन।
— PRBS ढाँचा मोड जेनरेशन 2 चक्रको इन्टरप्याकेट ग्याप र प्रत्येक प्याकेटको लागि 128 बाइटको पेलोड साइज।
- या त गैर-निरन्तर वा निरन्तर मोडमा चलाउन CSR मार्फत कन्फिगर योग्य।
— TX/RX प्याकेट तथ्याङ्क स्थिति CSR मार्फत पहुँच गर्न उपलब्ध छ।
दिनको समय (TOD) उपप्रणाली
TX र RX दुवैका लागि दुई IEEE 1588 TOD मोड्युलहरू, र Intel Quartus प्राइम सफ्टवेयरले उत्पन्न गरेको एउटा IEEE 1588 TOD सिन्क्रोनाइजर मोड्युल समावेश गर्दछ।
Nios® II उपप्रणाली
Avalon-MM ब्रिज समावेश गर्दछ जसले Nios II प्रोसेसर, परीक्षण र्यापर, र Avalon® -MM ठेगाना डिकोडर ब्लकहरू बीच Avalon-MM डेटा मध्यस्थतालाई अनुमति दिन्छ।
Nios II परीक्षण र्यापरको दर_स्विच दर्ता मानबाट आउटपुटको आधारमा डाटा दर स्विच गर्न जिम्मेवार छ। यो ब्लकले परीक्षण र्यापरबाट आदेश प्राप्त गरेपछि आवश्यक दर्तालाई प्रोग्राम गर्दछ।
नोट: यो ब्लक पूर्व डिजाइनमा अवस्थित छैनample Intel Arria 10 र Intel Agilex 7 F-tile उपकरणहरूको लागि उत्पन्न गरियो।
प्रणाली कन्सोल
तपाईंलाई पहिलो-स्तरको डिबगिङ गर्न र आईपीको स्थिति, र ट्राफिक जनरेटरहरू र चेकर्सहरू निगरानी गर्न प्रयोगकर्ता-अनुकूल इन्टरफेस प्रदान गर्दछ।
डेमो नियन्त्रण
यस मोड्युलमा रिसेट सिन्क्रोनाइजर मोड्युलहरू, र इन-सिस्टम सोर्स एण्ड प्रोब (ISSP) मोड्युलहरू डिजाइन प्रणाली डिबगिङ र प्रारम्भिक प्रक्रियाका लागि हुन्छन्।
सम्बन्धित जानकारी
- 25G इथरनेट Intel Stratix 10 FPGA IP प्रयोगकर्ता गाइड
- ई-टाइल हार्ड आईपी प्रयोगकर्ता गाइड
- eCPRI इंटेल FPGA आईपी प्रयोगकर्ता गाइड
- 25G इथरनेट इंटेल स्ट्र्याटिक्स 10 FPGA IP डिजाइन पूर्वampले प्रयोगकर्ता गाइड
- Intel Stratix 10 Design Ex का लागि ई-टाइल हार्ड आईपीamples प्रयोगकर्ता गाइड
- Intel Stratix 10 L- र H-Tile Transceiver PHY प्रयोगकर्ता गाइड
- ई-टाइल ट्रान्सीभर PHY प्रयोगकर्ता गाइड
- Intel Stratix 10 10GBASE-KR PHY IP प्रयोगकर्ता गाइड
- ई-टाइल हार्ड आईपी इंटेल एजिलेक्स डिजाइन पूर्वampले प्रयोगकर्ता गाइड
२.४.२। सिमुलेशन डिजाइन पूर्वample
eCPRI डिजाइन पूर्वample एक सिमुलेशन testbench र सिमुलेशन उत्पन्न गर्दछ fileतपाईंले सिमुलेशन वा सिन्थेसिस र सिमुलेशन विकल्प चयन गर्दा eCPRI Intel FPGA IP कोर इन्स्ट्यान्टियट गर्छ।
चित्र 9. eCPRI Intel FPGA IP सिमुलेशन ब्लक रेखाचित्र
नोट: Nios II सबसिस्टम ब्लक डिजाइन पूर्वमा अवस्थित छैनample Intel Arria 10 र Intel Agilex 7 F-tile उपकरणहरूको लागि उत्पन्न गरियो।
यस डिजाइनमा पूर्वampले, सिमुलेशन टेस्टबेन्चले आधारभूत कार्यक्षमता प्रदान गर्दछ जस्तै स्टार्टअप र लक, ट्रान्समिट र प्राप्त प्याकेटहरूको लागि प्रतीक्षा गर्नुहोस्।
सफल परीक्षण रनले निम्न व्यवहार पुष्टि गर्ने आउटपुट देखाउँछ:
- ग्राहक तर्कले IP कोर रिसेट गर्दछ।
- ग्राहक तर्कले RX डाटापाथ पङ्क्तिबद्धताको लागि पर्खिरहेको छ।
- ग्राहक तर्कले Avalon-ST इन्टरफेसमा प्याकेटहरू प्रसारण गर्दछ।
- प्याकेटहरूको सामग्री र शुद्धताको लागि प्राप्त गर्नुहोस् र जाँच गर्नुहोस्।
- "परीक्षण पास" सन्देश प्रदर्शन गर्नुहोस्।
२.३ इन्टरफेस संकेतहरू
तालिका ६. डिजाइन उदाampइन्टरफेस संकेतहरू
संकेत | दिशा | विवरण |
clk_ref | इनपुट | इथरनेट MAC को लागि सन्दर्भ घडी। • Intel Stratix 10 E-tile, Intel Agilex 7 E-tile र F-tile डिजाइनहरूका लागि, E-tile Ethernet Hard IP core वा F-tile Ethernet Hard IP core को लागि 156.25 MHz घडी इनपुट। इथरनेट हार्ड आईपीमा i_clk_ref[0] मा जडान गर्नुहोस्। • Intel Stratix 10 H-tile डिजाइनहरूका लागि, Transceiver ATX PLL र 322.2625G इथरनेट IP को लागि 25 MHz घडी इनपुट। ट्रान्ससिभर ATX PLL मा pll_refclk0[0] र 0G इथरनेट IP मा clk_ref[25] मा जडान गर्नुहोस्। • Intel Arria 10 डिजाइनहरूको लागि, ट्रान्ससिभर ATX PLL र 322.265625G/ 1GbE र 10GBase-KR PHY IP को लागि 10 MHz घडी इनपुट। ट्रान्ससिभर ATX PLL मा pll_refclk0[0] र 10G/ 0GbE र 1G BASE-KR PHY IP मा rx_cdr_ref_clk_10g[10] मा जडान गर्नुहोस्। |
tod_sync_sampling_clk | इनपुट | Intel Arria 10 डिजाइनहरूको लागि, TOD उपप्रणालीको लागि 250 MHz घडी इनपुट। |
clk100 | इनपुट | व्यवस्थापन घडी। यो घडी PTP को लागि latency_clk उत्पन्न गर्न प्रयोग गरिन्छ। 100 MHz मा ड्राइभ गर्नुहोस्। |
mgmt_reset_n | इनपुट | Nios II प्रणालीको लागि संकेत रिसेट गर्नुहोस्। |
tx_serial | आउटपुट | TX सीरियल डाटा। 4 च्यानलहरू सम्म समर्थन गर्दछ। |
rx_serial | इनपुट | RX क्रमिक डेटा। 4 च्यानलहरू सम्म समर्थन गर्दछ। |
iwf_cpri_ehip_ref_clk | इनपुट | ई-टाइल CPRI PHY सन्दर्भ घडी इनपुट। यो घडी Intel Stratix 10 E-tile र Intel मा मात्र उपलब्ध छ Agilex 7 ई-टाइल डिजाइनहरू। 153.6 Gbps CPRI लाइन दरको लागि 9.8 MHz मा ड्राइभ गर्नुहोस्। |
iwf_cpri_pll_refclk0 | आउटपुट | CPRI TX PLL सन्दर्भ घडी। • Intel Stratix 10 H-tile डिजाइनहरूका लागि: CPRI डाटा दर 307.2 Gbps को लागि 9.8 MHz मा ड्राइभ गर्नुहोस्। • Intel Stratix 10 E-tile र Intel Agilex 7 E-tile डिजाइनहरूका लागि: CPRI डाटा दर 156.25 Gbps को लागि 9.8 MHz मा ड्राइभ गर्नुहोस्। |
iwf_cpri_xcvr_cdr_refclk | आउटपुट | CPRI रिसीभर CDR सन्दर्भ घडी। यो घडी Intel Stratix 10 H-tile डिजाइनहरूमा मात्र उपलब्ध छ। 307.2 Gbps CPRI लाइन दरको लागि 9.8 MHz मा ड्राइभ गर्नुहोस्। |
iwf_cpri_xcvr_txdataout | आउटपुट | सीपीआरआई सीरियल डाटा प्रसारण। 4 च्यानलहरू सम्म समर्थन गर्दछ। |
iwf_cpri_xcvr_rxdatain | आउटपुट | CPRI प्राप्तकर्ता क्रमिक डेटा। 4 च्यानलहरू सम्म समर्थन गर्दछ। |
cpri_gmii_clk | इनपुट | CPRI GMII 125 MHz इनपुट घडी। |
सम्बन्धित जानकारी
PHY इन्टरफेस संकेतहरू
25G इथरनेट Intel FPGA IP को PHY इन्टरफेस संकेतहरू सूचीबद्ध गर्दछ।
2.5. डिजाइन पूर्वample दर्ता नक्शा
तल eCPRI IP कोर डिजाइन पूर्वको लागि दर्ता म्यापिङ छampLe:
तालिका 6. eCPRI Intel FPGA IP डिजाइन पूर्वample दर्ता म्यापिङ
ठेगाना | दर्ता गर्नुहोस् |
0x20100000 – 0x201FFFFF(2) | IOPLL पुन: कन्फिगरेसन दर्ता। |
0x20200000 - 0x203FFFFF | इथरनेट MAC Avalon-MM दर्ता |
0x20400000 - 0x205FFFFF | इथरनेट MAC नेटिभ PHY Avalon-MM दर्ता |
0x20600000 – 0x207FFFFF(2) | नेटिभ PHY RS-FEC Avalon-MM दर्ता। |
0x40000000 - 0x5FFFFFFF | eCPRI आईपी Avalon-MM दर्ता |
0x80000000 - 0x9FFFFFFF | इथरनेट डिजाइन परीक्षण जेनरेटर/प्रमाणक Avalon-MM दर्ता |
तालिका 7. Nios II दर्ता म्यापिङ
तलको तालिकामा दर्ताहरू केवल डिजाइन पूर्वमा उपलब्ध छन्ampLe Intel Stratix 10 वा Intel Agilex 7 E-tile उपकरणहरूको लागि उत्पन्न गरिएको।
ठेगाना | दर्ता गर्नुहोस् |
0x00100000 - 0x001FFFFF | IOPLL पुन: कन्फिगरेसन दर्ता |
0x00200000 - 0x003FFFFF | इथरनेट MAC Avalon-MM दर्ता |
0x00400000 - 0x005FFFFF | इथरनेट MAC नेटिभ PHY Avalon-MM दर्ता |
0x00600000 - 0x007FFFFF | नेटिभ PHY RS-FEC Avalon-MM दर्ता |
नोट: तपाईले इथरनेट MAC र इथरनेट MAC नेटिभ PHY AVMM रेजिस्टरहरूमा बाइट अफसेटको सट्टा अफसेट शब्द प्रयोग गरेर पहुँच गर्न सक्नुहुन्छ।
Ethernet MAC, Ethernet MAC Native PHY, र eCPRI IP कोर दर्ता नक्साहरूमा विस्तृत जानकारीको लागि, सम्बन्धित प्रयोगकर्ता गाइडहरू हेर्नुहोस्।
(२) डिजाइनमा मात्र उपलब्ध छampले Intel Stratix 10 र Intel Agilex 7 ई-टाइल उपकरणहरूको लागि उत्पन्न गरिएको छ।
तालिका 8. eCPRI Intel FPGA IP हार्डवेयर डिजाइन पूर्वample दर्ता नक्शा
शब्द अफसेट | दर्ता प्रकार | पूर्वनिर्धारित मान | पहुँच प्रकार |
८x४० | डेटा पठाउन सुरु गर्नुहोस्: • बिट १: PTP, गैर-PTP प्रकार • बिट ०: eCPRI प्रकार |
८x४० | RW |
८x४० | निरन्तर प्याकेट सक्षम गर्नुहोस् | ८x४० | RW |
८x४० | खाली त्रुटि | ८x४० | RW |
१x0 (१२3) | दर स्विच: • बिट [७] - टाइल संकेत गर्दछ: - 1'b0: H-टाइल - 1'b1: ई-टाइल • बिट [६:४]- इथरनेट डाटा दर स्विचिङ संकेत गर्दछ: - 3'b000: 25G देखि 10G - 3'b001: 10G देखि 25G • बिट [०]- स्विच दर सक्षम गर्नुहोस्। यो बिट ० सेट गर्न आवश्यक छ र बिट ० दर परिवर्तनको लागि स्पष्ट नभएसम्म मतदान गर्नुहोस्। नोट: यो दर्ता Intel Agilex 7 F-tile र Intel Arria 10 डिजाइनहरूको लागि उपलब्ध छैन। |
• ई-टाइल: ०x८० H-टाइल: ०x० |
RW |
१x0 (१२4) | रेट स्विच सकियो: • बिट [१] ले दर परिवर्तन भएको संकेत गर्दछ। |
८x४० | RO |
०x६० (९६) | प्रणाली कन्फिगरेसन स्थिति: • बिट [३१]: प्रणाली तयार छ • बिट [३०]: IWF_EN • बिट [२९]: STARTUP_SEQ_EN • बिट [२८:४]: आरक्षित • बिट [३]: EXT_PACKET_EN • बिट [२८:४]: आरक्षित |
८x४० | RO |
०x६० (९६) | CPRI वार्ता पूरा भयो: • बिट [३:०]: बिट दर पूरा भयो • बिट [१९:१६]: प्रोटोकल पूरा भयो |
८x४० | RW |
०x६० (९६) | CPRI वार्ता पूरा भयो: • बिट [३:०]: छिटो C&M पूरा भयो • बिट [१९:१६]: छिटो VSS पूरा भयो |
८x४० | RW |
0x8 - 0x1F | आरक्षित। | ||
८x४० | eCPRI त्रुटि अवरोध: • बिट [०] ले अवरोधलाई संकेत गर्छ। |
८x४० | RO |
८x४० | बाह्य प्याकेट त्रुटि | ८x४० | RO |
८x४० | बाह्य PTP प्याकेटहरू TX स्टार्ट अफ प्याकेट (SOP) काउन्ट | ८x४० | RO |
८x४० | बाह्य PTP प्याकेटहरू TX प्याकेटको अन्त्य (EOP) गणना | ८x४० | RO |
८x४० | बाह्य विविध प्याकेटहरू TX SOP गणना | ८x४० | RO |
८x४० | बाह्य विविध प्याकेटहरू TX EOP गणना | ८x४० | RO |
८x४० | बाह्य RX प्याकेट SOP गणना | ८x४० | RO |
८x४० | बाह्य RX प्याकेटहरू EOP गणना | ८x४० | RO |
८x४० | बाह्य प्याकेट त्रुटि गणना | ८x४० | RO |
0x29 - 0x2C | आरक्षित। | ||
0x2D | बाह्य PTP टाइमस्टamp फिंगरप्रिन्ट त्रुटि गणना | ८x४० | RO |
0x2E | बाह्य PTP टाइमस्टamp फिंगरप्रिन्ट त्रुटि | ८x४० | RO |
0x2F | बाह्य Rx त्रुटि स्थिति | ८x४० | RO |
0x30 - 0x47 | आरक्षित। | ||
८x४० | eCPRI प्याकेट त्रुटि | RO | |
८x४० | eCPRI TX SOP गणना | RO | |
0x4A | eCPRI TX EOP गणना | RO | |
0x4B | eCPRI RX SOP गणना | RO | |
०x१ सी | eCPRI RX EOP गणना | RO | |
0x4D | eCPRI प्याकेट त्रुटि गणना | RO |
सम्बन्धित जानकारी
- नियन्त्रण, स्थिति, र तथ्याङ्क दर्ता विवरणहरू
25G इथरनेट Stratix 10 FPGA IP को लागी जानकारी दर्ता गर्नुहोस् - पुन: कन्फिगरेसन र स्थिति दर्ता
विवरणहरू इथरनेटको लागि ई-टाइल हार्ड आईपीको लागि जानकारी दर्ता गर्नुहोस् - दर्ता गर्दछ
eCPRI Intel FPGA IP को लागी जानकारी दर्ता गर्नुहोस्
eCPRI इंटेल FPGA आईपी डिजाइन पूर्वampले प्रयोगकर्ता गाइड अभिलेख
यस प्रयोगकर्ता गाइडको पछिल्लो र अघिल्लो संस्करणहरूको लागि, eCPRI Intel FPGA IP Design Ex लाई सन्दर्भ गर्नुहोस्ampले प्रयोगकर्ता गाइड HTML संस्करण। संस्करण चयन गर्नुहोस् र डाउनलोड क्लिक गर्नुहोस्। यदि IP वा सफ्टवेयर संस्करण सूचीबद्ध छैन भने, अघिल्लो IP वा सफ्टवेयर संस्करणको लागि प्रयोगकर्ता गाइड लागू हुन्छ।
eCPRI Intel FPGA IP Design Ex का लागि कागजात संशोधन इतिहासampले प्रयोगकर्ता गाइड
कागजात संस्करण | इंटेल क्वार्टस प्राइम संस्करण |
आईपी संस्करण | परिवर्तनहरू |
2023.05.19 | 23.1 | 2.0.3 | • डिजाइनको अनुकरण गर्ने पूर्व अपडेट गरियोampक्विक स्टार्ट गाइड अध्यायमा टेस्टबेन्च खण्ड। • उत्पादनको पारिवारिक नाम "Intel Agilex 7" मा अद्यावधिक गरियो। |
2022.11.15 | 22.3 | 2.0.1 | खण्डमा VCS सिम्युलेटरका लागि अद्यावधिक गरिएका निर्देशनहरू: डिजाइनको अनुकरण गर्दै पूर्वampले टेस्टबेन्च। |
2022.07.01 | 22.1 | 1.4.1 | • हार्डवेयर डिजाइन पूर्व थपियोampIntel Agilex 7 F-टाइल उपकरण भिन्नताहरूको लागि समर्थन। • निम्न विकास किटहरूको लागि समर्थन थपियो: - Intel Agilex 7 I-Series FPGA विकास किट — Intel Agilex 7 I-Series Transceiver-SoC विकास किट • QuestaSim सिम्युलेटरको लागि समर्थन थपियो। • ModelSim* SE सिम्युलेटरको लागि समर्थन हटाइयो। |
2021.10.01 | 21.2 | 1.3.1 | • Intel Agilex 7 F-tile उपकरणहरूको लागि समर्थन थपियो। • बहु-च्यानल डिजाइनहरूको लागि समर्थन थपियो। • अद्यावधिक गरिएको तालिका: eCPRI Intel FPGA IP हार्डवेयर डिजाइन पूर्वample दर्ता नक्शा। • NCSim सिम्युलेटरको लागि समर्थन हटाइयो। |
2021.02.26 | 20.4 | 1.3.0 | • Intel Agilex 7 E-tile उपकरणहरूको लागि समर्थन थपियो। |
2021.01.08 | 20.3 | 1.2.0 | • eCPRI Intel Stratix 10 FPGA IP Design Ex बाट कागजातको शीर्षक परिवर्तन गरियोampले प्रयोगकर्ता गाइड eCPRI इंटेल FPGA आईपी डिजाइन पूर्वampले प्रयोगकर्ता गाइड। • Intel Arria 10 डिजाइनहरूको लागि समर्थन थपियो। • eCPRI IP डिजाइन पूर्वample अब इन्टरवर्किङ प्रकार्य (IWF) सुविधा समर्थनको साथ उपलब्ध छ। • स्पष्ट गर्नको लागि एउटा नोट थपियो कि eCPRI डिजाइन पूर्वample IWF सुविधा 9.8 Gbps CPRI को लागि मात्र उपलब्ध छ लाइन बिट दर। • खण्डमा थपिएका सर्तहरू डिजाइन उत्पन्न गर्दा डिजाइन पूर्वampले संग इन्टरवर्किङ फंक्शन (IWF) समर्थन प्यारामिटर सक्षम गरियो। • s थपियोampले सिमुलेशन टेस्ट रन आउटपुट IWF सुविधाको साथ डिजाइन सिमुलेटिङ खण्डमा सक्षम गरिएको छ Exampले टेस्टबेन्च। • इथरनेट आईपीमा गतिशील पुन: कन्फिगरेसन सक्षम गर्ने नयाँ खण्ड थपियो। • अपडेट गरिएको हार्डवेयर परीक्षण sampखण्डमा आउटपुट eCPRI Intel FPGA IP डिजाइन Ex परीक्षण गर्दैample। |
2020.06.15 | 20.1 | 1.1.0 | • 10G डाटा दरको लागि समर्थन थपियो। • प्रवाह file अब डिजाइन पूर्व संग उपलब्ध छampले जेनेरेशन लुपब्याक मोड चयन गर्न। • परिमार्जन गरियोampअनुकरण परीक्षणको लागि le आउटपुट खण्डमा रन सिमुलेटिंग द डिजाइन एक्सampले टेस्टबेन्च। • कम्पाइलिङ र कन्फिगरिङ खण्डमा 10G डाटा दर डिजाइन चलाउनको लागि फ्रिक्वेन्सी मान थपियो डिजाइन पूर्वample हार्डवेयर मा। • eCPRI Intel FPGA IP डिजाइन पूर्व परीक्षण खण्डमा निम्न परिवर्तनहरू गरियोampLe: - 10G र 25G बीच डाटा दर स्विच गर्न आदेशहरू थपियो — s थप्नुभयोampडाटा दर स्विचनका लागि le आउटपुट — E-tile उपकरण भिन्नताहरूमा लुपब्याक चयन गर्न TEST_MODE चर जानकारी थपियो। • परिमार्जित eCPRI Intel FPGA IP हार्डवेयर डिजाइन पूर्वampनयाँ समावेश गर्न उच्च स्तरको ब्लक रेखाचित्र ब्लकहरू। • अद्यावधिक गरिएको तालिका: डिजाइन पूर्वampनयाँ संकेत समावेश गर्न इन्टरफेस संकेतहरू। • अद्यावधिक गरिएको डिजाइन पूर्वample दर्ता नक्शा खण्ड। • नयाँ परिशिष्ट खण्ड थपियो: कार्यान्वयनयोग्य र लिङ्किङ ढाँचा (.elf) प्रोग्रामिङ उत्पादन र डाउनलोड गर्दै File . |
2020.04.13 | 19.4 | 1.1.0 | प्रारम्भिक रिलीज। |
A. कार्यान्वयनयोग्य र लिङ्किङ ढाँचा (.elf) प्रोग्रामिङ उत्पन्न र डाउनलोड गर्दै File
यो खण्डले .elf कसरी उत्पन्न गर्ने र डाउनलोड गर्ने भनेर वर्णन गर्दछ file बोर्डमा:
- डाइरेक्टरीलाई <design_ex मा परिवर्तन गर्नुहोस्ample_dir>/synthesis/quatus।
- Intel Quartus Prime Pro Edition सफ्टवेयरमा, Open Project मा क्लिक गर्नुहोस् र <design_ex खोल्नुहोस्ample_dir>/synthesis/quartus/epri_ed.qpf। अब Eclipse को लागि Tools ➤ Nios II Software Build Tools चयन गर्नुहोस्।
चित्र 10. ग्रहणको लागि Nios II सफ्टवेयर निर्माण उपकरणहरू - कार्यस्थान लन्चर विन्डो प्रम्प्ट देखा पर्दछ। कार्यस्थानमा <design_ex को रूपमा पथ निर्दिष्ट गर्नुहोस्ample_dir>/synthesis/quatus तपाईंको ग्रहण परियोजना भण्डारण गर्न। नयाँ Nios II - Eclipse विन्डो देखिन्छ।
चित्र 11. कार्यस्थान लन्चर विन्डो - Nios II - Eclipse विन्डोमा, Project Explorer ट्याब अन्तर्गत दायाँ-क्लिक गर्नुहोस्, र नयाँ ➤ Nios II बोर्ड समर्थन प्याकेज चयन गर्नुहोस्। नयाँ विन्डो देखिन्छ।
चित्र १२. प्रोजेक्ट एक्सप्लोरर ट्याब - Nios II बोर्ड समर्थन प्याकेज विन्डोमा:
• परियोजना नाम प्यारामिटर मा, आफ्नो इच्छित परियोजना नाम निर्दिष्ट गर्नुहोस्।
• SOPC जानकारीमा File नाम प्यारामिटर, <design_ex को स्थानमा ब्राउज गर्नुहोस्ample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file। समाप्त क्लिक गर्नुहोस्।
चित्र 13. Nios II बोर्ड समर्थन प्याकेज सञ्झ्याल - नयाँ सिर्जना गरिएको परियोजना Nios II Eclipse विन्डोमा Project Explorer ट्याब अन्तर्गत देखिन्छ। Project Explorer ट्याब अन्तर्गत दायाँ क्लिक गर्नुहोस्, र Nios II ➤ Nios II कमाण्ड शेल चयन गर्नुहोस्।
चित्र 14. प्रोजेक्ट एक्सप्लोरर- Nios II कमाण्ड शेल - Nios II कमाण्ड शेलमा, निम्न तीन आदेशहरू टाइप गर्नुहोस्: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- एल्फ file निम्न स्थानमा उत्पन्न हुन्छ: <design_example_dir>/ synthesis/ip_components/software//app।
- बोर्डमा .elf डाउनलोड गर्न Nios II कमाण्ड शेलमा निम्न आदेश टाइप गर्नुहोस्:
• Intel Stratix 10 को लागि: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
• Intel Agilex 7 को लागि: nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf
अनलाइन संस्करण
प्रतिक्रिया पठाउनुहोस्
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP डिजाइन पूर्वampले प्रयोगकर्ता गाइड
कागजातहरू / स्रोतहरू
![]() |
Intel eCPRI Intel FPGA IP डिजाइन [pdf] प्रयोगकर्ता गाइड eCPRI इंटेल FPGA आईपी डिजाइन, eCPRI, इंटेल FPGA आईपी डिजाइन, FPGA आईपी डिजाइन, आईपी डिजाइन, डिजाइन |