intel-logo

इंटेल RN-01080-22.1 क्वार्टस प्राइम मानक संस्करण सफ्टवेयर

intel-RN-01080-22-1-Quartus-Prime-Standard-Edition-Software-product

उत्पादन जानकारी

उत्पादन इंटेल क्वार्टस प्राइम मानक संस्करण सफ्टवेयर हो, विशेष गरी संस्करण 22.1। यसले कार्यात्मक र सुरक्षा अद्यावधिकहरू, बग समाधानहरू, र सफ्टवेयर व्यवहारमा परिवर्तनहरू समावेश गर्दछ। सफ्टवेयर तपाईंको Intel Quartus प्राइम स्थापनाको सुरक्षा सुधार गर्न र ग्राहक सेवा अनुरोधहरू समाधान गर्न डिजाइन गरिएको हो।

यस सफ्टवेयर रिलिजको बारेमा थप जानकारीको लागि, कृपया Intel Quartus प्राइम मानक संस्करण README हेर्नुहोस् file /quartus/readme.txt मा अवस्थित। अपरेटिङ सिस्टम समर्थनको बारेमा जानकारीको लागि, Intel FPGA अपरेटिङ सिस्टम समर्थनमा जानुहोस् webपृष्ठ।

सफ्टवेयर ISO 9001:2015 दर्ता गरिएको छ।

उत्पादन उपयोग निर्देशन

  1. कार्यात्मक, सुरक्षा अपडेटहरू, बग फिक्सहरू, र सुधारिएको सुरक्षाबाट फाइदा लिन आफ्नो Intel Quartus प्राइम सफ्टवेयरलाई अप-टु-डेट राख्नुहोस्।
  2. यदि तपाइँसँग कुनै ग्राहक सेवा अनुरोधहरू छन् भने, पुन:view पृष्ठ 13 मा समाधान गरिएको सफ्टवेयर समस्याहरू र पृष्ठ 13 मा यस रिलीजमा समावेश गरिएका सफ्टवेयर प्याचहरू यो संस्करणमा तपाइँका समस्याहरूका लागि समाधानहरू छन् कि छैनन् भनेर जाँच गर्न।
  3. को view सफ्टवेयरको नवीनतम संस्करणको लागि पूर्वनिर्धारित असाइनमेन्ट सेटिङहरू, इन्टेल क्वार्टस प्राइम डिफल्ट सेटिङहरूमा सन्दर्भ गर्नुहोस्। File (.qdf) /quartus/bin/assignment_defaults.qdf मा अवस्थित छ।
  4. यदि कुनै पनि सुविधाहरू वा प्रकार्यहरू अघिल्लो संस्करणहरूमा हटाइएको छ भने, तिनीहरूलाई हटाउनु अघि प्रतिस्थापन वा वैकल्पिक सुविधाहरू र प्रकार्यहरू प्रयोग गर्नका लागि आफ्नो उपकरण र प्रक्रियाहरू माइग्रेट गर्नुहोस्।
  5. Intel Quartus Prime Standard Edition संस्करण 22.1 मा कुनै पनि सुविधाहरू वा प्रकार्यहरू हटाइएको वा हटाइएको छैन।

Intel® Quartus® प्राइम मानक संस्करण संस्करण 22.1 सफ्टवेयर र उपकरण समर्थन रिलीज नोटहरू

यो कागजातले Intel® Quartus® Prime Standard Edition संस्करण 22.1 को बारेमा ढिलो-ब्रेकिंग जानकारी प्रदान गर्दछ।
यस सफ्टवेयर रिलीजको बारेमा थप जानकारीको लागि, इन्टेल क्वार्टस प्राइमलाई सन्दर्भ गर्नुहोस्
मानक संस्करण README file निम्न स्थानमा: /quartus/readme.txt
अपरेटिङ सिस्टम समर्थन बारे जानकारीको लागि, निम्नलाई सन्दर्भ गर्नुहोस् web पृष्ठ: इंटेल FPGA अपरेटिङ सिस्टम समर्थन।

सम्बन्धित जानकारी

  • इंटेल क्वार्टस प्राइम प्रो संस्करण सफ्टवेयर र उपकरण समर्थन रिलीज नोटहरू
  • लिनक्सको लागि इंटेल क्वार्टस प्राइम मानक संस्करण डिजाइन सफ्टवेयर
  • विन्डोजको लागि इंटेल क्वार्टस प्राइम मानक संस्करण डिजाइन सफ्टवेयर
  • लिनक्सको लागि इंटेल क्वार्टस प्राइम लाइट संस्करण डिजाइन सफ्टवेयर
  • विन्डोजको लागि इंटेल क्वार्टस प्राइम लाइट संस्करण डिजाइन सफ्टवेयर
  • इंटेल FPGA सफ्टवेयर स्थापना र इजाजतपत्र

नयाँ सुविधाहरू र संवर्द्धनहरू

Intel Quartus प्राइम मानक संस्करण सफ्टवेयर संस्करण 22.1 कार्यात्मक र सुरक्षा अद्यावधिकहरू समावेश गर्दछ। आफ्नो सफ्टवेयरलाई अप-टु-डेट राख्नुहोस् र प्राविधिक सिफारिसहरू पालना गर्नुहोस् जसले तपाईंको इन्टेल क्वार्टस प्राइम स्थापनाको सुरक्षा सुधार गर्न मद्दत गर्दछ।
Intel Quartus प्राइम मानक संस्करण सफ्टवेयर संस्करण 22.1 ले निम्न नयाँ सुविधाहरू र संवर्द्धनहरू समावेश गर्दछ:

  • Nios® V/m प्रोसेसरको लागि समर्थन थपियो।
  • Intel MAX® 10 उपकरणहरूको लागि, 1.8V LVDS समर्थन थपियो।

बग समाधानहरू
Intel Quartus प्राइम मानक संस्करण सफ्टवेयर संस्करण 22.1 मा बग फिक्सहरू पनि समावेश छन्। पुनview पृष्ठ 13 मा समाधान गरिएका सफ्टवेयर समस्याहरू र पृष्ठ 13 मा यस रिलीजमा समावेश गरिएका सफ्टवेयर प्याचहरू यो संस्करणले तपाईंको कुनै पनि ग्राहक सेवा अनुरोधहरूको लागि समाधानहरू समावेश गर्दछ वा अन्यथा समाधान गर्दछ।

सफ्टवेयर व्यवहारमा परिवर्तनहरू

यस खण्डले इंटेल क्वार्टस प्राइम मानक संस्करण सफ्टवेयरको व्यवहार र पूर्वनिर्धारित सेटिङहरू इन्टेल क्वार्टस प्राइम स्ट्यान्डर्ड संस्करण सफ्टवेयरको अघिल्लो विमोचनबाट परिवर्तन गरिएको उदाहरणहरू दस्तावेज गर्दछ।
इन्टेल क्वार्टस प्राइम पूर्वनिर्धारित सेटिङहरूमा सन्दर्भ गर्नुहोस् File (.qdf), /quartus/bin/assignment_defaults.qdf, Intel Quartus प्राइम सफ्टवेयरको नवीनतम संस्करणको लागि सबै पूर्वनिर्धारित असाइनमेन्ट सेटिङहरूको सूचीको लागि।

हटाइएको सुविधाहरू र कार्यहरू

  • यस खण्डमा सूचीबद्ध कार्यहरू र विशेषताहरू इन्टेल क्वार्टस प्राइम स्ट्यान्डर्ड संस्करण 22.1 वा अघिल्लो संस्करणबाट हटाइएको छैन तर हटाइएको छैन। प्रतिस्थापन वा वैकल्पिक सुविधाहरू र प्रकार्यहरू प्रयोग गर्नका लागि हटाइएको सुविधाहरू र प्रकार्यहरू हटाउनु अघि तपाईंका उपकरणहरू र प्रक्रियाहरू माइग्रेट गर्नुहोस्।
  • Intel Quartus प्राइम मानक संस्करण संस्करण 22.1 को रूपमा सुविधाहरू र कार्यहरू हटाइएको छ
  • Intel Quartus Prime Standard Edition संस्करण 22.1 मा कुनै पनि Intel Quartus Prime सुविधाहरू वा प्रकार्यहरू हटाइएको छैन।
  • Intel Quartus प्राइम मानक संस्करण संस्करण 21.1.1 को रूपमा सुविधाहरू र कार्यहरू हटाइएको छ
  • Intel Quartus Prime Standard Edition संस्करण 21.1.1 मा कुनै पनि Intel Quartus Prime सुविधाहरू वा प्रकार्यहरू हटाइएको छैन।
  • Intel Quartus प्राइम मानक संस्करण संस्करण 21.1 को रूपमा सुविधाहरू र कार्यहरू हटाइएको छ
  • Intel Quartus Prime Standard Edition संस्करण 21.1 मा कुनै पनि Intel Quartus Prime सुविधाहरू वा प्रकार्यहरू हटाइएको छैन।
  • Intel Quartus प्राइम मानक संस्करण संस्करण 20.1 को रूपमा सुविधाहरू र कार्यहरू हटाइएको छ
  • Intel Quartus Prime Standard Edition संस्करण 20.1 मा कुनै पनि Intel Quartus Prime सुविधाहरू वा प्रकार्यहरू हटाइएको छैन।

हटाइएका सुविधाहरू र कार्यहरू

  • यस खण्डमा सूचीबद्ध कार्यहरू र सुविधाहरू इन्टेल क्वार्टस प्राइम स्ट्यान्डर्ड संस्करण 22.1 वा अघिल्लो संस्करणबाट हटाइएका छन्।
  • Intel Quartus प्राइम मानक संस्करण संस्करण 22.1 बाट सुविधाहरू र कार्यहरू हटाइयो
  • Intel Quartus Prime Standard Edition संस्करण 22.1 बाट कुनै पनि Intel Quartus Prime सुविधाहरू वा प्रकार्यहरू हटाइएको छैन।

Intel Quartus प्राइम मानक संस्करण संस्करण 21.1.1 बाट सुविधाहरू र कार्यहरू हटाइयो
Intel Quartus Prime Standard Edition संस्करण 21.1.1 बाट कुनै पनि Intel Quartus Prime सुविधाहरू वा प्रकार्यहरू हटाइएको छैन।
Intel Quartus प्राइम मानक संस्करण संस्करण 21.1 बाट सुविधाहरू र कार्यहरू हटाइयो

  • हटाइएको मोडेलसिम*-इन्टेल एफपीजीए संस्करण र मोडलसिम-इन्टेल एफपीजीए स्टार्टर संस्करण
    यो सिमुलेशन सफ्टवेयर क्रमशः Questa*-Intel FPGA संस्करण र Questa-Intel FPGA स्टार्टर संस्करण द्वारा प्रतिस्थापन गरिएको छ।
  • 32-बिट सिमुलेशन सफ्टवेयरको लागि समर्थन हटाइयो।
    यो परिवर्तनले निम्न सिमुलेशन उपकरणहरूको लागि समर्थन हटाउँछ:
    • Aldec* सक्रिय-HDL* (32-बिट)
      Aldec Active-HDL को 64-bit संस्करण प्रयोग गर्नुहोस् वा Aldec Riviera-PRO* को सट्टा प्रयोग गर्नुहोस्।
    • Mentor ग्राफिक्स* ModelSim PE
      यसको सट्टा Siemens* EDA ModelSim SE वा Siemens EDA Questa Advanced Simulator प्रयोग गर्नुहोस्।
  • हटाइयो NicheStack TCP/IP स्ट्याक समर्थन।
  • Cadence* Incisive* Enterprise Simulator (IES) को लागि समर्थन हटाइयो।

Intel Quartus प्राइम मानक संस्करण संस्करण 20.1 बाट सुविधाहरू र कार्यहरू हटाइयो
निम्न सफ्टवेयरको लागि समर्थन इन्टेल क्वार्टस प्राइम मानक संस्करण संस्करण 20.1 र पछि हटाइएको छ:

  • Intel FPGAs को लागि DSP बिल्डर
  • OpenCL™ (*) को लागि Intel FPGA SDK
  • OpenCL को लागि Intel FPGA RTE
  • इंटेल उच्च-स्तर संश्लेषण (HLS) कम्पाइलर

अपरेटिङ सिस्टम समर्थन

Intel Quartus Prime Design Suite को लागि अपरेटिङ सिस्टम समर्थनको बारेमा जानकारी Intel FPGA को अपरेटिङ सिस्टम समर्थन पृष्ठमा उपलब्ध छ। webसाइट।
Intel Quartus प्राइम मानक संस्करण संस्करण 22.1 मा अपरेटिङ सिस्टम समर्थन परिवर्तनहरू
निम्न अपरेटिङ सिस्टमहरूको लागि समर्थन इन्टेल क्वार्टस प्राइम मानक संस्करण संस्करण 22.1 मा हटाइएको छ:

  • CentOS* Linux 8.2
  • विन्डोज सर्भर* २०१२
  • विन्डोज सर्भर 2016
  • Windows* 10 संस्करण 1607
    तपाईको Windows 10 स्थापनालाई Windows 10 संस्करण 1809 वा पछिको मा माइग्रेट गर्नुहोस्।
    यी अपरेटिङ सिस्टमहरूको लागि समर्थन भविष्यको रिलीजमा हटाउन सकिन्छ।
    Intel Quartus प्राइम मानक संस्करण संस्करण 22.1 ले निम्न अपरेटिङ सिस्टमहरूको लागि समर्थन हटायो:
  • CentOS लिनक्स 7.5
  • CentOS Linux 8.0(1)
  • CentOS लिनक्स 8.1
  • Red Hat* Enterprise Linux*7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1

Intel Quartus प्राइम मानक संस्करण संस्करण 21.1.1 मा अपरेटिङ सिस्टम समर्थन परिवर्तनहरू

Intel Quartus प्राइम मानक संस्करण संस्करण 21.1.1 मा कुनै अपरेटिङ सिस्टम समर्थन परिवर्तनहरू छैनन्।

Intel Quartus प्राइम मानक संस्करण संस्करण 21.1 मा अपरेटिङ सिस्टम समर्थन परिवर्तनहरू
Intel Quartus प्राइम मानक संस्करण संस्करण 21.1 ले निम्न अपरेटिङ सिस्टमहरूको लागि समर्थन थप्यो:

  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* लिनक्स इन्टरप्राइज सर्भर १२
  • Ubuntu* Linux 20 LTS
  • विन्डोज सर्भर 2019

निम्न अपरेटिङ सिस्टमहरूको लागि समर्थन इन्टेल क्वार्टस प्राइम मानक संस्करण संस्करण 21.1 को रूपमा हटाइएको छ। यी अपरेटिङ सिस्टमहरूको लागि समर्थन भविष्यको रिलीजमा हटाउन सकिन्छ:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7
    Intel Quartus प्राइम मानक संस्करण संस्करण 21.1 ले निम्न अपरेटिङ सिस्टमहरूको लागि समर्थन हटायो:
  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

सम्बन्धित जानकारी
अपरेटिङ सिस्टम समर्थन

डिस्क स्पेस र मेमोरी सिफारिसहरू

Intel Quartus Prime Standard Edition सफ्टवेयरको पूर्ण स्थापनाको लागि 40 GB सम्म उपलब्ध डिस्क स्पेस चाहिन्छ।
तपाईंको डिजाइनलाई प्रशोधन गर्न आवश्यक पर्ने सिफारिस गरिएको भौतिक RAM बराबरको अतिरिक्त भर्चुअल मेमोरी उपलब्ध गराउनको लागि तपाईंको प्रणाली कन्फिगर गर्नुहोस्। यो अतिरिक्त भर्चुअल मेमोरीले तपाईंको डिजाइनलाई प्रशोधन गर्न उपलब्ध कुल प्रभावकारी मेमोरीलाई प्रभावकारी रूपमा दोब्बर बनाउँछ।

नोट: शिखर भर्चुअल मेमोरीले यी सिफारिसहरू भन्दा बढी हुन सक्छ। यी सिफारिसहरू RAM को असीम मात्राको साथ हार्डवेयरमा प्राप्त भएको १०% भित्र रनटाइम प्राप्त गर्न आवश्यक भौतिक मेमोरीको मात्रामा आधारित छन्।

तालिका १. Arria® डिजाइनहरू प्रशोधन गर्नका लागि मेमोरी आवश्यकताहरू
यी आवश्यकताहरू विन्डोज र लिनक्स स्थापनाहरूको लागि समान छन्।

परिवार यन्त्र Physical RAM सिफारिस गर्नुभयो
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10AS048, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
एरिया वि 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

तालिका २. साइक्लोन® डिजाइनहरू प्रशोधन गर्नका लागि मेमोरी आवश्यकताहरू
यी आवश्यकताहरू विन्डोज र लिनक्स स्थापनाहरूको लागि समान छन्।

परिवार यन्त्र Physical RAM सिफारिस गर्नुभयो
Intel Cyclone® 10 LP २ सीएल १०10 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 १२८ एमबी
चक्रवात वि 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5,

5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6,

5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, 5CSEA4, 5CSXC2,

5CSXC4, 5CSTD6

6 GB
चक्रवात IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 १२८ एमबी
चक्रवात IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 १२८ एमबी

तालिका 3. MAX डिजाइनहरू प्रशोधन गर्नका लागि मेमोरी आवश्यकताहरू
यी आवश्यकताहरू विन्डोज र लिनक्स स्थापनाहरूको लागि समान छन्।

परिवार यन्त्र Physical RAM सिफारिस गर्नुभयो
Intel MAX 10 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 १२८ एमबी
MAX V सबै १२८ एमबी
MAX II सबै १२८ एमबी

तालिका ४. Stratix®Designs लाई प्रशोधन गर्नका लागि मेमोरी आवश्यकताहरू
यी आवश्यकताहरू विन्डोज र लिनक्स स्थापनाहरूको लागि समान छन्।

परिवार यन्त्र Physical RAM सिफारिस गर्नुभयो
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

यन्त्र समर्थन र पिन-आउट स्थिति

सबै उत्पादन उपकरणहरूमा हाल पूर्ण संकलन, सिमुलेशन, समय विश्लेषण, र प्रोग्रामिङ समर्थन छ।

यन्त्र समर्थनमा परिवर्तनहरू

समय मोडेल, पावर मोडेल, र उपकरण स्थिति

तालिका 5. समय मोडेल, पावर मोडेल, र Intel Arria 10 उपकरणहरूको लागि उपकरण स्थिति

उपकरण परिवार यन्त्र समय मोडेल स्थिति पावर मोडेल स्थिति यन्त्रको स्थिति
इंटेल एरिया 10 10AX016, 10AS016, 10AX022, 10AS022,

10AX027, 10AS027, 10AX032, 10AS032

फाइनल - 16.1 (3)

(4)

फाइनल - 17.0 फाइनल - 17.0
10AX048, 10AS048 फाइनल - 16.0.2 (4) फाइनल - 17.0 फाइनल - 17.0
10AX057, 10AS057, 10AX066, 10AS066,

10AT090, 10AX090

फाइनल - 16.0.1 (4) फाइनल - 16.0.1 फाइनल - 16.0.1
10AX115, 10AT115 फाइनल - 16.0 (4) फाइनल - 16.0 फाइनल - 16.0

तालिका 6. समय मोडेल, पावर मोडेल, र Intel चक्रवात 10 उपकरणहरूको लागि उपकरण स्थिति

उपकरण परिवार यन्त्र समय मोडेल स्थिति पावर मोडेल स्थिति यन्त्रको स्थिति
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025,

10CL040, 10CL055, 10CL080, 10CL120

फाइनल - 17.0 फाइनल - 17.1 फाइनल - 17.1

तालिका 7. समय मोडेल, पावर मोडेल, र Intel MAX 10 यन्त्रहरूको लागि उपकरण स्थिति

उपकरण परिवार यन्त्र समय मोडेल स्थिति पावर मोडेल स्थिति यन्त्रको स्थिति
Intel MAX 10 10M02, 10M04, 10M08 फाइनल - 15.1 (5) फाइनल - 15.1 फाइनल - 15.1
10M16, 10M25, 10M40, 10M50 फाइनल - 15.1.2 फाइनल - 15.1 फाइनल - 15.1

Intel Quartus प्राइम सफ्टवेयरको हालको संस्करणमा Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V को लागि अन्तिम समय र पावर मोडेलहरू पनि समावेश छन्। SoC, MAX II, MAX II Z, MAX V, Stratix IV, र Stratix V उपकरण परिवारहरू। यी उपकरण परिवारहरूको लागि समय मोडेलहरू Intel Quartus प्राइम सफ्टवेयर संस्करणहरू 11.1 वा पहिलेको अन्तिम भयो।

IBIS मोडेलहरू

तालिका 8. Intel Quartus प्राइम मानक संस्करण सफ्टवेयर रिलीज संस्करण 22.1 को लागि IBIS मोडेल स्थिति
Intel Quartus Prime Standard Edition सफ्टवेयर संस्करण 16.0 बाट सुरु हुँदै, यन्त्र परिवारहरूसँग IBIS मोडेल स्थितिहरू छन् जुन या त अग्रिम, प्रारम्भिक वा अन्तिम हुन्।

उपकरण परिवार IBIS मोडेल स्थिति
इंटेल एरिया 10 फाइनल - 16.1.2
एरिया वि PHY यन्त्र सञ्चालनसँग सम्बन्धित - 14.0
Arria II GX PHY यन्त्र सञ्चालनसँग सम्बन्धित - 11.1
Arria II GZ PHY यन्त्र सञ्चालनसँग सम्बन्धित - 11.1
Intel Cyclone 10 LP फाइनल - 17.0
चक्रवात वि PHY यन्त्र सञ्चालनसँग सम्बन्धित - 14.0
चक्रवात IV E PHY यन्त्र सञ्चालनसँग सम्बन्धित - 11.1
चक्रवात IV GX PHY यन्त्र सञ्चालनसँग सम्बन्धित - 11.1
Intel MAX 10 फाइनल - 16.0
MAX V PHY यन्त्र सञ्चालनसँग सम्बन्धित - 11.1
Stratix V PHY उपकरण सञ्चालनसँग सम्बन्धित - 13.0 SP1
Stratix IV PHY यन्त्र सञ्चालनसँग सम्बन्धित - 11.1

अपडेट गरिएका IBIS मोडेलहरू Intel FPGA यन्त्रहरूका लागि IBIS मोडेलहरूमा अनलाइन उपलब्ध छन्। web पृष्ठ। यन्त्रहरूका लागि IBIS मोडेलहरू उपलब्ध हुने वा अद्यावधिक भएकाले यो पृष्ठ अद्यावधिक गरिएको छ।

EDA इन्टरफेस जानकारी

तालिका 9. इंटेल क्वार्टस प्राइम मानक संस्करण सफ्टवेयर रिलीज संस्करण 22.1 लाई समर्थन गर्ने संश्लेषण उपकरणहरू

संश्लेषण उपकरण संस्करण
Siemens EDA प्रेसिजन* Intel Quartus प्राइम सफ्टवेयरलाई समर्थन गर्ने Siemens EDA प्रेसिजन संस्करणहरू सामान्यतया Intel Quartus प्राइम सफ्टवेयरको रिलीज पछि जारी गरिन्छ। Intel Quartus प्राइम मानक संस्करण सफ्टवेयर रिलीज संस्करण 22.1 लाई समर्थन गर्ने Siemens EDA प्रेसिजनको संस्करणहरूको लागि Siemens EDA लाई सम्पर्क गर्नुहोस्।
Synopsys* Synplify*, Synplify Pro*, र Synplify Premier Intel Quartus प्राइम सफ्टवेयरलाई समर्थन गर्ने Synopsys Synplify, Synplify Pro, र Synplify प्रिमियर संस्करणहरू सामान्यतया Intel Quartus प्राइम सफ्टवेयरको रिलीज पछि जारी गरिन्छ। Synopsys Synplify, Synplify Pro, र Synplify Premier को संस्करणहरूको लागि Synopsys लाई सम्पर्क गर्नुहोस् जसले Intel Quartus प्राइम मानक संस्करण सफ्टवेयर रिलीज संस्करण 22.1 लाई समर्थन गर्दछ।

तालिका १०. इंटेल क्वार्टस प्राइम मानक संस्करण सफ्टवेयर रिलीज संस्करण 10 लाई समर्थन गर्ने सिमुलेशन उपकरणहरू
निम्न सिमुलेशन उपकरणहरूले RTL र कार्यात्मक गेट-स्तर सिमुलेशन प्रदान गर्दछ। केवल 64-बिट सिमुलेशन उपकरणहरू समर्थित छन्।

सिमुलेशन उपकरणहरू संस्करण
Aldec सक्रिय-HDL 13.0 (विन्डोज मात्र)
Aldec Riviera-PRO 2019.10
Cadence Xcelium* समानान्तर तर्क सिमुलेशन 21.09.003 (लिनक्स* मात्र)
Questa-Intel FPGA संस्करण 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa उन्नत सिम्युलेटर 2020.4
Synopsys VCS* र VCS MX P-2019.06-SP2-5 (लिनक्स मात्र)

Questa-Intel FPGA संस्करणलाई FlexLM लाइसेन्सिङ डेमन संस्करण ११.१६.४.० (वा पछिको) आवश्यक छ। तपाईंले Intel FPGA सफ्टवेयरको लागि FlexLM लाइसेन्स डेमनबाट इजाजतपत्र डेमन प्राप्त गर्न सक्नुहुन्छ। web पृष्ठ।
तपाईंले FPGAs को लागि डाउनलोड केन्द्रबाट सिमुलेशन उपकरणहरूको Intel FPGA संस्करण प्राप्त गर्न सक्नुहुन्छ।

Questa-Intel FPGA संस्करण संस्करण २०२२.२ को लागि अपरेटिङ सिस्टम समर्थन

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE लिनक्स इन्टरप्राइज सर्भर १२ (६४-बिट)
  • Windows 10 (64-bit)

सम्बन्धित जानकारी

  • लिनक्सको लागि इंटेल क्वार्टस प्राइम मानक संस्करण डिजाइन सफ्टवेयर
  • विन्डोजको लागि इंटेल क्वार्टस प्राइम मानक संस्करण डिजाइन सफ्टवेयर
  • लिनक्सको लागि इंटेल क्वार्टस प्राइम लाइट संस्करण डिजाइन सफ्टवेयर
  • विन्डोजको लागि इंटेल क्वार्टस प्राइम लाइट संस्करण डिजाइन सफ्टवेयर

एन्टिभाइरस प्रमाणीकरण

Intel Quartus प्राइम सफ्टवेयर रिलीज संस्करण 22.1 लाई निम्न सफ्टवेयरको साथ भाइरस मुक्त प्रमाणित गरिएको छ:

एन्टिभाइरस प्रमाणीकरण सफ्टवेयर
Linux64 संस्करणको लागि McAfee VirusScan कमाण्ड लाइन: 7.0.0.477
AV इन्जिन संस्करण: Linux6300.9389 को लागि 64।
मिति सेट संस्करण: 10505 अक्टोबर 19 2022 सिर्जना गरियो

सफ्टवेयर समस्याहरू समाधान गरियो

निम्न ग्राहक सेवा अनुरोधहरू इन्टेल क्वार्टस प्राइम मानक संस्करण संस्करण 22.1 मा फिक्स वा अन्यथा समाधान गरियो:

तालिका 11. इन्टेल क्वार्टस प्राइम मानक संस्करण संस्करण 22.1 मा समाधान गरिएका समस्याहरू

ग्राहक सेवा अनुरोध नम्बरहरू
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

यस रिलीजमा सफ्टवेयर प्याचहरू समावेश छन्

Intel Quartus Prime Standard Edition संस्करण 22.1 मा Intel Quartus Prime Standard Edition सफ्टवेयरको अघिल्लो संस्करणहरूको लागि निम्न प्याचहरू समावेश छन्:
तालिका 12. सफ्टवेयर प्याचहरू इन्टेल क्वार्टस प्राइम मानक संस्करण संस्करण 22.1 मा समावेश गरियो

सफ्टवेयर संस्करण प्याच ग्राहक सेवा अनुरोध नम्बर
इंटेल क्वार्टस प्राइम संस्करण 21.1 ०.०३ औं -
इंटेल क्वार्टस प्राइम संस्करण 21.1 ०.०३ औं 00693884
इंटेल क्वार्टस प्राइम संस्करण 21.1 ०.०३ औं 00501636
इंटेल क्वार्टस प्राइम संस्करण 21.1 ०.०३ औं 00689611
इंटेल क्वार्टस प्राइम संस्करण 21.1 0.04stdp -
इंटेल क्वार्टस प्राइम संस्करण 21.1 ०.०३ औं -
इंटेल क्वार्टस प्राइम संस्करण 21.1 ०.०३ औं -
इंटेल क्वार्टस प्राइम संस्करण 20.1.1 ०.०३ औं 00702107
इंटेल क्वार्टस प्राइम संस्करण 20.1 ०.०३ औं 00702107
इंटेल क्वार्टस प्राइम संस्करण 18.1.1 ०.०३ औं -
इंटेल क्वार्टस प्राइम संस्करण 18.1.1 ०.०३ औं -
इंटेल क्वार्टस प्राइम संस्करण 18.1.1 ०.०३ औं -
सफ्टवेयर संस्करण प्याच ग्राहक सेवा अनुरोध नम्बर
इंटेल क्वार्टस प्राइम संस्करण 18.1 ०.०३ औं 00698210
इंटेल क्वार्टस प्राइम संस्करण 18.1 ०.०३ औं 00669646
इंटेल क्वार्टस प्राइम संस्करण 18.1 ०.०३ औं 00689611

नवीनतम ज्ञात इंटेल क्वार्टस प्राइम सफ्टवेयर मुद्दाहरू
Intel Quartus Prime Standard Edition संस्करण 22.1 लाई असर गर्ने ज्ञात मुद्दाहरूको बारेमा जानकारी Intel FPGA ज्ञानको आधारमा उपलब्ध छ।
Intel Quartus प्राइम मानक संस्करण 22.1 लाई असर गर्ने समस्याहरूको बारेमा नवीनतम जानकारीको लागि, पुन:view Intel FPGA ज्ञानको आधार लेखहरू जुन Intel Quartus प्राइम मानक संस्करण संस्करण 22.1 मा लागू हुन्छ।

तालिका 13. इन्टेल क्वार्टस प्राइम मानक संस्करण 22.1 लाई असर गर्ने महत्त्वपूर्ण ज्ञात मुद्दाहरू

विवरण समाधान
Microsoft* Windows प्रणालीहरूमा, SDI II Intel FPGA IP डिजाइन पूर्वampले जेनेरेशन निम्न त्रुटि सन्देशको साथ असफल हुन्छ: विवरणहरू र फिक्सको उपलब्धताको लागि, सन्दर्भ गर्नुहोस् किन गर्छ SDI II Intel FPGA IP डिजाइन पूर्वampपुस्ता असफल विन्डोजको लागि इंटेल क्वार्टस प्राइम सफ्टवेयर प्रयोग गर्दा? Intel FPGA ज्ञानको आधारमा।
त्रुटि: पूर्व उत्पन्न गर्न असफलampले डिजाइन पूर्वample_design to:: \sdi_ii_0_example_design
Microsoft Windows प्रणालीहरूमा, Intel Arria 10 EMIF Ex उत्पन्न गर्दा निम्न त्रुटि देखापर्छ।ampअनुकरणको लागि डिजाइन: तपाईं सुरक्षित रूपमा यी चेतावनी सन्देशहरूलाई बेवास्ता गर्न सक्नुहुन्छ। नक्कली file Siemens EDA Questa र Aldec को लागि सेटहरू

Riviera-PRO सिमुलेशन सफ्टवेयर उत्पन्न र सान्दर्भिक डिजाइन समावेश छन् files सिमुलेशन सफलतापूर्वक चलाउन।

थप विवरणहरू र फिक्सको उपलब्धताको लागि, सन्दर्भ गर्नुहोस् किन Intel Arria 10 EMIF Ex गर्छampले डिजाइन जेनेरेशन असफल Intel Quartus प्राइम मानक संस्करण प्रयोग गर्दा Windows को लागि सफ्टवेयर संस्करण 22.1? Intel FPGA ज्ञानको आधारमा।

त्रुटि: emif_0: सिमुलेशन पूर्व उत्पन्न गर्दा त्रुटि देखापरेको छampले डिजाइन। विवरणहरूको लागि make_sim_design_errors.log हेर्नुहोस्।
त्रुटि: पूर्व उत्पन्न गर्न असफलampले डिजाइन

को: <exampले डिजाइन निर्देशिका>

पूर्व उत्पन्न गर्नुहोस्ampले डिजाइन: त्रुटिहरूसँग पूरा भयो
तपाईंले Intel Arria 10 EMIF IP स्किप क्यालिब्रेसन मोड प्रयोग गर्दा, Siemens EDA Questa सिमुलेशन सफ्टवेयर (Siemens EDA Questa Advanced Simulator वा Questa-Intel FPGA Edition) सँग Intel Arria 10 EMIF IP को सिमुलेशन ह्याङ्ग हुन सक्छ। द्रुत सिमुलेशनको लागि Abstract PHY प्रयोग गर्नुहोस्

ह्याङ्ग रोक्नको लागि सिमुलेशन विकल्प।

थप विवरणहरू र फिक्सको उपलब्धताको लागि, सन्दर्भ गर्नुहोस् किन Mentor मा Intel Arria 10 EMIF IP को सिमुलेशन गर्छ Intel Quartus Prime Standard प्रयोग गर्दा सिमुलेटरहरू ह्याङ्ग हुन्छन् संस्करण सफ्टवेयर संस्करण 22.1 Intel FPGA ज्ञानको आधारमा।

तपाईंले Intel FPGA ज्ञानको आधारमा क्वार्टस प्राइम सफ्टवेयरको अघिल्लो संस्करणहरूको लागि ज्ञात मुद्दा जानकारी पाउन सक्नुहुन्छ। web पृष्ठ।
क्वार्टस II सफ्टवेयरको अघिल्लो संस्करणहरूलाई असर गर्ने ज्ञात सफ्टवेयर मुद्दाहरूको बारेमा जानकारी इन्टेल क्वार्टस प्राइम र क्वार्टस II सफ्टवेयर समर्थनमा उपलब्ध छ। web पृष्ठ।
Intel FPGA IP लाइब्रेरीलाई असर गर्ने समस्याहरूको बारेमा जानकारी प्रत्येक IP को लागि रिलीज नोटहरूमा उपलब्ध छ। तपाईंले Intel FPGA कागजात सूचकांकमा IP रिलीज नोटहरू फेला पार्न सक्नुहुन्छ web पृष्ठ।

सम्बन्धित जानकारी

  • इंटेल FPGA ज्ञानको आधार
  • इंटेल क्वार्टस प्राइम र क्वार्टस II सफ्टवेयर समर्थन
  • Intel FPGAs र प्रोग्रामयोग्य यन्त्रहरू रिलीज नोटहरू

इंटेल क्वार्टस प्राइम मानक संस्करण सफ्टवेयर र उपकरण समर्थन रिलीज नोट्स अभिलेख
यी रिलिज नोटहरूको पछिल्लो र अघिल्लो संस्करणहरूको लागि, इन्टेल क्वार्टस प्राइम मानक संस्करण सफ्टवेयर र उपकरण समर्थन रिलीज नोटहरू हेर्नुहोस्। यदि सफ्टवेयर संस्करण सूचीबद्ध छैन भने, अघिल्लो सफ्टवेयर संस्करणको लागि रिलीज नोटहरू लागू हुन्छ।

इंटेल क्वार्टस प्राइम मानक संस्करण सफ्टवेयर रिलीज संस्करण 22.1 कागजात संशोधन इतिहास

कागजात संस्करण इंटेल क्वार्टस प्राइम संस्करण परिवर्तनहरू
2022.11.07 22.1 • नवीनतम ज्ञात सफ्टवेयर मुद्दाहरू अद्यावधिक गरियो।
2022.10.31 22.1 • प्रारम्भिक रिलीज।

इंटेल क्वार्टस प्राइम मानक संस्करण: संस्करण 22.1 सफ्टवेयर र उपकरण समर्थन रिलीज नोटहरू

कागजातहरू / स्रोतहरू

इंटेल RN-01080-22.1 क्वार्टस प्राइम मानक संस्करण सफ्टवेयर [pdf] प्रयोगकर्ता गाइड
संस्करण 22.1, RN-01080-22.1, RN-01080-22.1 क्वार्टस प्राइम मानक संस्करण सफ्टवेयर, क्वार्टस प्राइम मानक संस्करण सफ्टवेयर, प्राइम मानक संस्करण सफ्टवेयर, मानक संस्करण सफ्टवेयर, संस्करण सफ्टवेयर, सफ्टवेयर

सन्दर्भहरू

एक टिप्पणी छोड्नुहोस्

तपाईंको इमेल ठेगाना प्रकाशित गरिने छैन। आवश्यक क्षेत्रहरू चिन्ह लगाइएका छन् *