इंटेल ट्रिपल-स्पीड इथरनेट Agilex FPGA IP डिजाइन पूर्वample
द्रुत सुरुवात गाइड
Intel Agilex™ को लागि ट्रिपल-स्पीड इथरनेट Intel® FPGA IP ले डिजाइन पूर्व उत्पादन गर्ने क्षमता प्रदान गर्दछ।ampचयन गरिएका कन्फिगरेसनहरूको लागि les, जसले तपाईंलाई अनुमति दिन्छ:
- IP क्षेत्र उपयोग र समयको अनुमान प्राप्त गर्न डिजाइन कम्पाइल गर्नुहोस्।
- सिमुलेशन मार्फत IP कार्यक्षमता प्रमाणित गर्न डिजाइन अनुकरण गर्नुहोस्।
- Intel Agilex I-Series Transceiver-SoC विकास किट प्रयोग गरेर हार्डवेयरमा डिजाइनको परीक्षण गर्नुहोस्।
- जब तपाइँ एक डिजाइन पूर्व उत्पन्न गर्नुहुन्छampले, प्यारामिटर सम्पादकले स्वचालित रूपमा सिर्जना गर्दछ fileहार्डवेयरमा डिजाइनको अनुकरण, कम्पाइल र परीक्षण गर्न आवश्यक छ।
नोट: हार्डवेयर समर्थन हाल Intel Quartus® प्राइम प्रो संस्करण सफ्टवेयर संस्करण 22.3 मा उपलब्ध छैन।
विकास एसtagडिजाइन पूर्व को लागि esample
नोट: Intel Quartus प्राइम प्रो संस्करण सफ्टवेयर संस्करण 22.3 मा, एक प्याच डिजाइन पूर्व मा सिमुलेशन विफलता जोगिन आवश्यक छ।ample। थप जानकारीको लागि, KDB लिङ्कलाई सन्दर्भ गर्नुहोस्: ट्रिपल-स्पीड ईथरनेट FPGA IP मल्टिपोर्ट डिजाइन पूर्वको लागि सिमुलेशन किन असफल हुन्छ।ampले?।
सम्बन्धित जानकारी
ट्रिपल-स्पीड ईथरनेट Intel® FPGA IP मल्टिपोर्ट डिजाइन पूर्वको लागि सिमुलेशन किन असफल हुन्छampले?।
निर्देशिका संरचना
ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP डिजाइन पूर्वample file डाइरेक्टरीहरूले निम्न उत्पन्न समावेश गर्दछ file10/100/1000 मल्टिपोर्ट इथरनेट MAC डिजाइन पूर्वको लागिample 1000BASE-X/SGMII PCS र एम्बेडेड PMA सँग
- हार्डवेयर कन्फिगरेसन र परीक्षण files (हार्डवेयर डिजाइन पूर्वample) मा अवस्थित छन्ample_dir>/hardware_test_design।
- सिमुलेशन files (सिमुलेशनको लागि मात्र टेस्टबेन्च) मा अवस्थित छन्ample_dir>/example_testbench।
- संकलन-मात्र डिजाइन पूर्वample मा अवस्थित छample_dir>/ compilation_test_design।
- संकलन परीक्षण र हार्डवेयर परीक्षण डिजाइन प्रयोग fileमा छample_dir>/ex_tse/common।
डिजाइनको लागि निर्देशिका संरचना पूर्वample
तालिका 1. ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP टेस्टबेन्च File विवरण
निर्देशिका/File | विवरण |
Testbench र सिमुलेशन Files | |
<design_example_dir>/example_testbench/ basic_avl_tb_top_mac_pcs.sv | शीर्ष स्तरको टेस्टबेन्च file। टेस्टबेन्चले DUT लाई इन्स्ट्यान्टियट गर्छ र प्याकेटहरू उत्पन्न गर्न र स्वीकार गर्न Verilog HDL कार्यहरू चलाउँछ। |
Testbench लिपिहरू | |
<design_example_dir>/example_testbench/ run_vsim_mac_pcs.sh | Testbench चलाउनको लागि ModelSim लिपि। |
जारी… |
निर्देशिका/File | विवरण |
<design_example_dir>/example_testbench/ run_vcs_mac_pcs.sh | टेस्टबेन्च चलाउनको लागि Synopsys* VCS लिपि। |
<design_example_dir>/example_testbench/ run_vcsmx_mac_pcs.sh | टेस्टबेन्च चलाउनको लागि Synopsys VCS MX स्क्रिप्ट (VHDL सँग Verilog HDL र System Verilog संयुक्त) |
<design_example_dir>/example_testbench/ run_xcelium_mac_pcs.sh | टेस्टबेन्च चलाउनको लागि Xcelium* स्क्रिप्ट। |
तालिका 2. ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP हार्डवेयर डिजाइन पूर्वample File विवरण
निर्देशिका/File | विवरण |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf | इंटेल क्वार्टस प्राइम परियोजना file. |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf | इंटेल क्वार्टस प्राइम प्रोजेक्ट सेटिङहरू file. |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc | Synopsys डिजाइन बाधाहरू files तपाईं यसलाई प्रतिलिपि र परिमार्जन गर्न सक्नुहुन्छ fileतपाईंको आफ्नै Intel Stratix® 10 डिजाइनको लागि। |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v | शीर्ष-स्तर Verilog HDL डिजाइन पूर्वample file. |
<design_example_dir>/hardware_test_design/ common/ | हार्डवेयर डिजाइन पूर्वampले समर्थन files. |
डिजाइन पूर्वample
डिजाइन उत्पादन गर्ने प्रक्रिया पूर्वample
Exampले डिजाइन ट्याब ट्रिपल-स्पीड ईथरनेट इन्टेल FPGA आईपी प्यारामिटर सम्पादकमा
हार्डवेयर डिजाइन पूर्व उत्पन्न गर्न यी चरणहरू पालना गर्नुहोस्ample र testbench:
- Intel Quartus Prime Pro Edition सफ्टवेयरमा क्लिक गर्नुहोस् File ➤ नयाँ क्वार्टस प्राइम प्रोजेक्ट सिर्जना गर्न नयाँ प्रोजेक्ट विजार्ड, वा File ➤ अवस्थित क्वार्टस प्राइम परियोजना खोल्न परियोजना खोल्नुहोस्। विजार्डले तपाइँलाई यन्त्र निर्दिष्ट गर्न संकेत गर्दछ।
- Intel Agilex उपकरण परिवार चयन गर्नुहोस् र LVDS भएको यन्त्र चयन गर्नुहोस्।
- विजार्ड बन्द गर्न समाप्त क्लिक गर्नुहोस्।
- आईपी क्याटलगमा, इन्टरफेस प्रोटोकल पत्ता लगाउनुहोस् र चयन गर्नुहोस् ➤ इथरनेट ➤ 1G मल्टिरेट
- इथरनेट ➤ ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP। नयाँ आईपी भिन्नता विन्डो देखा पर्दछ।
- शीर्ष-स्तरको नाम निर्दिष्ट गर्नुहोस् तपाइँको अनुकूलन आईपी भिन्नता को लागी। प्यारामिटर सम्पादकले IP भिन्नता सेटिङहरूलाई a मा बचत गर्छ file नाम दिइएको .ip
- ठीक क्लिक गर्नुहोस्। प्यारामिटर सम्पादकहरू देखा पर्छन्।
- पूर्व डिजाइन उत्पन्न गर्नampले, एक डिजाइन पूर्व चयन गर्नुहोस्ampप्रिसेट लाइब्रेरीबाट प्रिसेट गर्नुहोस् र लागू गर्नुहोस् क्लिक गर्नुहोस्। जब तपाइँ एक डिजाइन चयन गर्नुहुन्छ, प्रणालीले स्वचालित रूपमा डिजाइनको लागि आईपी प्यारामिटरहरू भर्छ। प्यारामिटर सम्पादकले स्वचालित रूपमा डिजाइन पूर्व उत्पन्न गर्न आवश्यक प्यारामिटरहरू सेट गर्दछample। IP ट्याबमा प्रिसेट प्यारामिटरहरू परिवर्तन नगर्नुहोस्।
- पूर्वका लागिampले डिजाइन Files, testbench उत्पन्न गर्न सिमुलेशन विकल्प चयन गर्नुहोस्, वा हार्डवेयर डिजाइन पूर्व उत्पन्न गर्न सिन्थेसिस विकल्प।ample।
- नोट: तपाईंले डिजाइन पूर्व उत्पन्न गर्न विकल्पहरू मध्ये कम्तिमा एउटा चयन गर्नुपर्छample।
- पूर्व माampले डिजाइन ट्याब, उत्पन्न HDL ढाँचा अन्तर्गत, Verilog HDL वा VHDL चयन गर्नुहोस्।
- लक्ष्य विकास किट अन्तर्गत, Agilex I-Series Transceiver-SoC विकास किट (AGIB027R31B1E2VR0) चयन गर्नुहोस् वा कुनै पनि चयन गर्नुहोस्।
- Ex मा क्लिक गर्नुहोस्ampले डिजाइन: "उदाहरणका लागिample_design" बटन। पूर्व चयन गर्नुहोस्ampले डिजाइन डाइरेक्टरी विन्डो देखिन्छ।
- यदि तपाइँ डिजाइन परिमार्जन गर्न चाहनुहुन्छ भने पूर्वampले डाइरेक्टरी मार्ग वा पूर्वनिर्धारितबाट नाम (eth_tse_0_example_design), नयाँ मार्गमा ब्राउज गर्नुहोस् र नयाँ डिजाइन पूर्व टाइप गर्नुहोस्ampले डाइरेक्टरी नाम (ample_dir>)।
- ठीक क्लिक गर्नुहोस्।
डिजाइन पूर्वampमापदण्डहरू
पूर्व मा प्यारामिटरहरूampले डिजाइन ट्याब
प्यारामिटर | विवरण |
डिजाईन चयन गर्नुहोस् | उपलब्ध पूर्वampले आईपी प्यारामिटर सेटिङहरूको लागि डिजाइन। |
Exampले डिजाइन Files | द fileविभिन्न विकास चरणको लागि उत्पन्न गर्न।
• सिमुलेशन - आवश्यक उत्पन्न गर्दछ fileपूर्व अनुकरणको लागि sampले डिजाइन। • संश्लेषण - संश्लेषण उत्पन्न गर्दछ files यी प्रयोग गर्नुहोस् fileहार्डवेयर परीक्षणको लागि इन्टेल क्वार्टस प्राइम प्रो संस्करण सफ्टवेयरमा डिजाइन कम्पाइल गर्न र स्थिर समय विश्लेषण गर्न। |
उत्पन्न गर्नुहोस् File ढाँचा | RTL को ढाँचा fileसिमुलेशनका लागि s — Verilog वा VHDL। |
बोर्ड चयन गर्नुहोस् | डिजाइन कार्यान्वयनको लागि समर्थित हार्डवेयर। जब तपाइँ एक इंटेल FPGA विकास बोर्ड चयन गर्नुहुन्छ, लक्ष्य उपकरण विकास किटमा रहेको यन्त्रसँग मेल खाने एउटा हो।
यदि यो मेनु उपलब्ध छैन भने, तपाईंले चयन गर्नुभएका विकल्पहरूको लागि कुनै समर्थित बोर्ड छैन। Agilex I-Series ट्रान्सीभर-SoC विकास किट: यो विकल्पले तपाईंलाई डिजाइन पूर्व परीक्षण गर्न अनुमति दिन्छampचयन गरिएको Intel FPGA IP विकास किटमा। यो विकल्पले स्वचालित रूपमा चयन गर्दछ लक्ष्य उपकरण Intel FPGA IP विकास किटमा यन्त्रसँग मेल खान्छ। यदि तपाइँको बोर्ड संशोधन फरक उपकरण ग्रेड छ भने, तपाइँ लक्षित उपकरण परिवर्तन गर्न सक्नुहुन्छ। कुनै पनि छैन: यो विकल्पले डिजाइन पूर्वका लागि हार्डवेयर पक्षहरू समावेश गर्दैनample। |
ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP डिजाइन पूर्व अनुकरण गर्दैampले टेस्टबेन्च
पूर्व अनुकरण गर्ने प्रक्रियाampले टेस्टबेन्च
Testbench अनुकरण गर्न यी चरणहरू पालना गर्नुहोस्:
- testbench सिमुलेशन डाइरेक्टरीमा परिवर्तन गर्नुहोस्ample_dir>/ पूर्वample_testbench।
- आफ्नो रोजाइको समर्थित सिमुलेटरको लागि सिमुलेशन लिपि चलाउनुहोस्। लिपिले सिम्युलेटरमा टेस्टबेन्च कम्पाइल र चलाउँछ। टेष्टबेन्चको नक्कल गर्ने चरणहरू तालिकालाई सन्दर्भ गर्नुहोस्।
Testbench सिमुलेट गर्न चरणहरू
सिमुलेटर | निर्देशनहरू |
मोडेलसिम* | कमांड लाइनमा, टाइप गर्नुहोस् vsim -do run_vsim_mac_pcs.do। यदि तपाइँ ModelSim GUI ल्याई बिना सिमुलेट गर्न चाहनुहुन्छ भने, टाइप गर्नुहोस् vsim -c -do run_vsim_mac_pcs.do। |
Synopsys VCS*/ VCS MX | कमाण्ड लाइनमा, sh run_vcs_mac_pcs.sh वा sh run_vcsmx_mac_pcs.sh टाइप गर्नुहोस्। |
एक्सेलियम | आदेश लाइनमा, टाइप गर्नुहोस् sh run_xcelium_mac_pcs.sh। |
- परिणामहरू विश्लेषण गर्नुहोस्। सफल testbench ले दस प्याकेटहरू पठाउँछ, प्याकेटहरूको समान संख्या प्राप्त गर्दछ, र निम्न सन्देश प्रदर्शन गर्दछ
डिजाइन कम्पाइल र कन्फिगर गर्दै पूर्वample हार्डवेयर मा
हार्डवेयर डिजाइन कम्पाइल गर्न पूर्वample र यसलाई आफ्नो Intel Agilex उपकरणमा कन्फिगर गर्नुहोस्, यी चरणहरू पालना गर्नुहोस्:
- हार्डवेयर डिजाइन पूर्व सुनिश्चित गर्नुहोस्ampपुस्ता पूरा भयो।
- Intel Quartus Prime Pro Edition सफ्टवेयरमा, Intel Quartus Prime परियोजना खोल्नुहोस्ample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf।
- प्रशोधन मेनुमा, संकलन सुरु गर्नुहोस् क्लिक गर्नुहोस्।
- एक सफल संकलन पछि, a.sof file मा उपलब्ध छample_dir>/hardwarde_test_design निर्देशिका
10/100/1000 मल्टिपोर्ट इथरनेट MAC डिजाइन पूर्वample 1000BASE-X/SGMII PCS र एम्बेडेड PMA सँग
यो डिजाइन पूर्वampले ट्रिपल-स्पीड इथरनेट आईपी प्रयोग गरेर इंटेल एजिलेक्स उपकरणहरूको लागि इथरनेट समाधान प्रदर्शन गर्दछ। तपाइँ पूर्व बाट डिजाइन उत्पन्न गर्न सक्नुहुन्छampट्रिपल-स्पीड इथरनेट आईपी प्यारामिटर सम्पादकको डिजाइन ट्याब। डिजाइन उत्पन्न गर्न पूर्वampले, तपाईंले आफ्नो अन्तिम उत्पादनमा उत्पन्न गर्न चाहनुभएको IP भिन्नताको लागि तपाईंले पहिले प्यारामिटर मानहरू सेट गर्नुपर्छ। डिजाइन उत्पन्न गर्दै पूर्वample ले आईपीको प्रतिलिपि बनाउँछ। टेस्टबेन्च र हार्डवेयर डिजाइन पूर्वampआईपीको प्रतिलिपिलाई परीक्षण (DUT) अन्तर्गत उपकरणको रूपमा प्रयोग गर्नुहोस्। यदि तपाईंले DUT का लागि प्यारामिटर मानहरू तपाईंको अन्तिम उत्पादनमा प्यारामिटर मानहरू मिलाउन सेट गर्नुभएन भने, डिजाइन पूर्वampले तपाईले उत्पन्न गर्नुभएको आईपी भिन्नता प्रयोग गर्दैन जुन तपाईले चाहानु भएको छ।
सुविधाहरू
- डिजाइन पूर्व उत्पन्न गर्दछampट्रिपल-स्पीड इथरनेट मल्टिपोर्ट इथरनेट MAC को लागी आन्तरिक FIFO र PCS बिना LVDS I/O को साथ बहु-च्यानल साझा FIFO प्रयोग गरी।
- ट्रान्समिट मार्गमा ट्राफिक उत्पन्न गर्दछ र ट्रान्सीभर LVDS I/O बाह्य लुपब्याक मार्फत प्राप्त डाटा प्रमाणित गर्दछ।
- Tx र RX सीरियल बाह्य लुपब्याक मोड LVDS I/O मार्फत।
- केवल बाह्य लुपब्याक समर्थन गर्दछ।
- केवल चार पोर्टहरू समर्थन गर्दछ।
हार्डवेयर र सफ्टवेयर आवश्यकताहरू
- Intel ले डिजाइन पूर्व परीक्षण गर्न निम्न हार्डवेयर र सफ्टवेयर प्रयोग गर्दछampलिनक्स प्रणालीमा:
- इंटेल क्वार्टस प्राइम प्रो संस्करण सफ्टवेयर
- ModelSim, VCS, VCS MX, र Xcelium सिमुलेटरहरू
कार्यात्मक विवरण
डिजाइन अवयवहरू
कम्पोनेन्ट | विवरण |
ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP | ट्रिपल-स्पीड इथरनेट इंटेल FPGA आईपी (altera_eth_tse) निम्न कन्फिगरेसनको साथ इन्स्ट्यान्टियट गरिएको छ:
• कोर कन्फिगरेसनहरू: — कोर भिन्नता: 10BASE-X/SGMII PCS सँग 100/1000/1000Mb इथरनेट MAC — आन्तरिक FIFO प्रयोग गर्नुहोस्: चयन गरिएको छैन — पोर्टहरूको संख्या: ३ — ट्रान्सीभर प्रकार: LVDS I/O • MAC विकल्पहरू: — MAC 10/100 हाफ डुप्लेक्स समर्थन सक्षम गर्नुहोस्: चयन गरियो — MII/GMII मा स्थानीय लुपब्याक सक्षम गर्नुहोस्: चयन गरियो — पूरक MAC युनिकास्ट ठेगानाहरू सक्षम गर्नुहोस्: चयन गरिएको छैन — तथ्याङ्क काउन्टरहरू समावेश गर्नुहोस्: चयन गरियो — 64-बिट तथ्याङ्क बाइट काउन्टरहरू सक्षम गर्नुहोस्: चयन गरिएको छैन — मल्टीकास्ट ह्यासटेबल समावेश गर्नुहोस्: चयन गरिएको छैन — प्याकेट हेडरहरू 32-बिट सीमामा पङ्क्तिबद्ध गर्नुहोस्: चयन गरिएको छैन — पूर्ण-डुप्लेक्स प्रवाह नियन्त्रण सक्षम गर्नुहोस्: चयन गरियो — VLAN पत्ता लगाउन सक्षम गर्नुहोस्: चयन गरिएको छैन — जादुई प्याकेट पत्ता लगाउन सक्षम गर्नुहोस्: चयन गरियो — MDIO मोड्युल समावेश गर्नुहोस् (MDC/MDIO): चयन गरियो — होस्ट घडी विभाजक: ३ • टाइमस्टamp विकल्पहरू: — टाइमस्ट सक्षम गर्नुहोस्amping: चयन गरिएको छैन • PCS/ट्रान्सिभर विकल्पहरू: — SGMII पुल सक्षम गर्नुहोस्: चयन गरियो |
ग्राहक तर्क | आईपी मार्फत पठाइएका वा प्राप्त गरिएका प्याकेटहरू उत्पन्न र निगरानी गर्दछ। |
इथरनेट ट्राफिक नियन्त्रक | Avalon® मेमोरी म्याप गरिएको इन्टरफेस मार्फत नियन्त्रित। |
JTAG Avalon मेमोरी म्याप गरिएको इन्टरफेस ठेगाना डिकोडरमा | J लाई रूपान्तरण गर्नुहोस्TAG Avalon मेमोरी म्याप गरिएको इन्टरफेसका लागि संकेतहरू। |
घडी र रिसेट संकेतहरू
संकेत | दिशा | चौडाइ | विवरण |
ref_clk | इनपुट | 1 | ड्राइभहरू दर्ता पहुँच सन्दर्भ घडी र MAC FIFO स्थिति इन्टरफेस घडी। 100 मेगाहर्ट्जमा घडी सेट गर्नुहोस्। |
iopll_refclk | इनपुट | 1 | 125 Gbps सिरियल LVDS I/O इन्टरफेसको लागि 1.25 MHz सन्दर्भ घडी। |
सिमुलेशन
सिमुलेशन परीक्षण केसले निम्न चरणहरू गर्दछ:
- डिजाइन पूर्व सुरु हुन्छample 1G को अपरेटिङ गति संग।
- ट्रिपल-स्पीड इथरनेट MAC र PCS दर्ताहरू कन्फिगर गर्दछ।
- मापन मान्य संकेतको दावी नभएसम्म पर्खनुहोस्।
- पोर्ट ० मा गैर-PTP प्याकेटहरू पठाउँछ।
- MAC RX पोर्ट 0 ले प्राप्त प्याकेटहरूलाई MAC TX पोर्ट 1 मा पठाउँछ।
टेस्टबेन्च
डिजाइन को ब्लक रेखाचित्र पूर्वample Multiport 10/100/1000Mb इथरनेट MAC 1000BASE-X/SGMII PCS सँग LVDS I/O सिमुलेशन टेस्टबेन्च
VCS सिमुलेटर को सिमुलेशन परीक्षण परिणाम
ट्रिपल-स्पीडको लागि कागजात संशोधन इतिहास इथरनेट इंटेल FPGA IP इंटेल Agilex डिजाइन पूर्वampले प्रयोगकर्ता गाइड
कागजात संस्करण | इंटेल क्वार्टस प्राइम संस्करण | आईपी संस्करण | परिवर्तनहरू |
2022.12.09 | 22.3 | 21.1.0 | प्रारम्भिक रिलीज। |
कागजातहरू / स्रोतहरू
![]() |
इंटेल ट्रिपल-स्पीड इथरनेट Agilex FPGA IP डिजाइन पूर्वample [pdf] प्रयोगकर्ता गाइड ट्रिपल-स्पीड इथरनेट Agilex FPGA IP डिजाइन पूर्वample, ट्रिपल-स्पीड, इथरनेट Agilex FPGA IP डिजाइन पूर्वampले, आईपी डिजाइन पूर्वample |